#0 0x00007fffef4a4355 in _PyString_Eq (o1='find_module', o2='find_module') at /usr/src/debug/Python-2.7.3/Objects/stringobject.c:1255 a = 0x7fffef20f360 b = 0x7fffe4bc03c0 #1 0x00007fffef4939ae in lookdict_string (mp=, key= 'find_module', hash=1437845453575892775) at /usr/src/debug/Python-2.7.3/Objects/dictobject.c:444 i = 12071 perturb = freeslot = mask = 32767 ep0 = ep = 0x7fffee290bb8 #2 0x00007fffef4945fc in PyDict_GetItem (op= {'': '', '\x00': '\x00', '\x00\x00': '\x00\x00', 'CMPI_RC_ERR_INVALID_PARAMETER': 'CMPI_RC_ERR_INVALID_PARAMETER', 'func': 'func', 'n_sequence_fields': 'n_sequence_fields', 'mdraid': 'mdraid', 'security_class_mapping_perms_get': 'security_class_mapping_perms_get', 'four': 'four', 'ofpathname': 'ofpathname', 'prefix': 'prefix', 'DCCP_SOCKET__RELABELFROM': 'DCCP_SOCKET__RELABELFROM', 'NETLINK_DNRT_SOCKET__RELABELFROM': 'NETLINK_DNRT_SOCKET__RELABELFROM', 'PR_READ_ONLY_FILESYSTEM_ERROR': 'PR_READ_ONLY_FILESYSTEM_ERROR', 'dbus.xml': 'dbus.xml', 'CDATASection': 'CDATASection', 'DIR__READ': 'DIR__READ', 'delete_selinux_opt': 'delete_selinux_opt', 'WeakSet': 'WeakSet', 'Int32': 'Int32', 'blivet.re': 'blivet.re', 'PR_PROTOCOL_NOT_SUPPORTED_ERROR': 'PR_PROTOCOL_NOT_SUPPORTED_ERROR', 'SSL_ERROR_BAD_HANDSHAKE_HASH_VALUE': 'SSL_ERROR_BAD_HANDSHAKE_HASH_VALUE', 'LITERAL_CODES': 'LITERAL_CODES', 'get_parts': 'get_parts', '_demo_posix': '_demo_posix', 'utcfromtimestamp': 'utcfromtimestamp', 'BREAK_LOOP': 'BREAK_LOOP', 'getM...(truncated), key=key@entry= 'find_module') at /usr/src/debug/Python-2.7.3/Objects/dictobject.c:741 hash = 1437845453575892775 mp = 0x7fffe40056c0 ep = tstate = #3 0x00007fffef4a4624 in PyString_InternInPlace (p=p@entry=0x7ffff7f120d8) at /usr/src/debug/Python-2.7.3/Objects/stringobject.c:4739 s = 0x7fffe4bc03c0 t = #4 0x00007fffef4ab86d in PyString_InternFromString (cp=) at /usr/src/debug/Python-2.7.3/Objects/stringobject.c:4774 s = 0x0 #5 0x00007fffef499498 in PyObject_GetAttrString (name=, v= ) at /usr/src/debug/Python-2.7.3/Objects/object.c:1130 w = res = #6 PyObject_GetAttrString (v=, name=) at /usr/src/debug/Python-2.7.3/Objects/object.c:1124 res = 0x0 #7 0x00007fffef45ff7b in PyObject_CallMethod (o=, name=name@entry=0x7fffef55b07e "find_module", format=format@entry= 0x7fffef534dcd "s") at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2619 va = {{gp_offset = 4014553518, fp_offset = 32767, overflow_arg_area = 0x7fffe4544f70, reg_save_area = 0x7fffef4a435a <_PyString_Eq+42>}} args = func = 0x0 retval = 0x0 #8 0x00007fffef501d15 in find_module (fullname=fullname@entry= 0x7ffff7f1556c "pyexpat", subname=subname@entry=0x7ffff7f1556c "pyexpat", path= ['/usr/lib64/python27.zip', '/usr/lib64/python2.7', '/usr/lib64/python2.7/plat-linux2', '/usr/lib64/python2.7/lib-tk', '/usr/lib64/python2.7/lib-old', '/usr/lib64/python2.7/lib-dynload', '/usr/lib64/python2.7/site-packages', '/usr/lib/python2.7/site-packages', '/usr/lib/python2.7/site-packages/setuptools-0.6c11-py2.7.egg-info', '/usr/lib/python2.7/site-packages/openlmi/storage'], path@entry=0x0, buf=buf@entry=0x7ffff7f14490 "/usr/lib64/python27.zip", buflen=buflen@entry=4097, p_fp=p_fp@entry=0x7ffff7f14488, p_loader=p_loader@entry=0x7ffff7f14480) at /usr/src/debug/Python-2.7.3/Python/import.c:1367 loader = importer = copy = v = i = npath = len = namelen = 7 fdp = filemode = fp = 0x0 path_hooks = [] path_importer_cache = {'/usr/lib64/python2.7/xml/parsers': None, '/usr/lib/python2.7/site-packages/blivet/formats': None, '/usr/lib64/python2.7/site-packages/block': None, '/usr/lib64/python2.7/site-packages/pyanaconda/isys': None, '/usr/lib/python2.7/site-packages/pywbem': None, '/usr/lib64/python2.7/lib-old': , '/usr/lib64/python27.zip': , '/usr/lib64/python2.7/encodings': None, '/usr/lib/python2.7/site-packages/blivet': None, '/usr/lib64/python2.7/site-packages/selinux': None, '/usr/lib/python2.7/site-packages/pykickstart': None, '/usr/lib64/python2.7/': None, '/usr/lib64/python2.7/plat-linux2': None, '/usr/lib64/python2.7/xml/dom': None, '/usr/lib/python2.7/site-packages/openlmi/common': None, '/usr/lib/python2.7/site-packages/setuptools-0.6c11-py2.7.egg-info': , '/usr/lib64/python2.7/logging': None, '/usr/lib64/python2.7': None, '/usr/lib/python2.7/site-packages': None, '/usr/lib64/python2.7/site-pa...(truncated) statbuf = {st_dev = 0, st_ino = 0, st_nlink = 0, st_mode = 0, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 0, st_blksize = 0, st_blocks = 0, st_atim = {tv_sec = 0, tv_nsec = 0}, st_mtim = {tv_sec = 0, tv_nsec = 0}, st_ctim = {tv_sec = 0, tv_nsec = 0}, __unused = {0, 0, 0}} fd_frozen = {suffix = 0x7fffef53aba1 "", mode = 0x7fffef53aba1 "", type = PY_FROZEN} fd_builtin = {suffix = 0x7fffef53aba1 "", mode = 0x7fffef53aba1 "", type = C_BUILTIN} fd_package = {suffix = 0x7fffef53aba1 "", mode = 0x7fffef53aba1 "", type = PKG_DIRECTORY} name = "pyexpat", '\000' "\377, \vy\322\352;\256\000\000\000\000\000\000\000\000P\273\273\344\377\177\000\000\340\372{\357\377\177\000\000P\273\273\344\377\177\000\000\000\000\000\000\000\000\000\000\260\363\273\344\377\177\000\000 \374\005\344\377\177\000\000i\nP\357\377\177\000\000\000\000\000\000\000\000\000\000\030\000\000\000\060\000\000\000\360$\361\367\377\177\000\000\060$\361\367\377\177", '\000' "\250, %\361\367\377\177\000\000\002\000\000\000\000\000\000\000(\000\000\000\000\000\000\000\001\001\001\001\001\001\001\001\000\000\000\000\000\000\000\000$\363\273\344\377\177\000\000\016\000\000\000\000\000\000\000\364\257U\357\377\177\000\000\000\000\000\000\000\000\000\000\260\363\273\344\377\177\000\000`&\361\367\377\177\000\000vGJ\357\377\177\000\000\000\000\000\000\000\000\000\000h\020\274\344\377\177\000\000`\t\006\344\377\177\000\000P\273\273\344\377\177\000\000\000\000\000\000\000\000\000\000"... #9 0x00007fffef504986 in import_submodule (mod=mod@entry=None, subname=subname@entry=0x7ffff7f1556c "pyexpat", fullname=fullname@entry= 0x7ffff7f1556c "pyexpat") at /usr/src/debug/Python-2.7.3/Python/import.c:2585 buf = "/usr/lib64/python27.zip\000/parsers/pyexpat.pyc\000e.so", '\000' , "pG\361\367\377\177\000\000\t\000\000\000\000\000\000\000`\002\000\000\000\000\000\000\001", '\000' , "$\000\000\000\071\000\000\000\351NS\357\377\177\000\000\340G\361\367\377\177\000\000\360\304\300\344\377\177\000\000\000\000\000\000\000\000\000\000\034\000\000\000\000\000\000\000\220\275\000\344\377\177\000\000\067e\244\362\377\177\000\000\360q\244\362\377\177", '\000' , "\001\000\000\000\000\000\000\000\360\304\300\344\377\177\000\000\350NS\357\377\177\000\000\001\000\000\000\000\000\000\000|\360|\357\377\177\000\000\220\275\000\344\377\177\000\000\200j\361\367\377\177\000\000F\253\243\362\377\177", '\000' "\310, \026\272\344\377\177\000\000\200"... fp = 0x0 path = 0x0 loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #10 0x00007fffef504b4a in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f15548, buf=buf@entry=0x7ffff7f15560 "xml.parsers.pyexpat", p_buflen=p_buflen@entry=0x7ffff7f15558) at /usr/src/debug/Python-2.7.3/Python/import.c:2419 name = 0x7fffe4bc0354 "pyexpat" dot = len = 7 p = 0x7ffff7f1556c "pyexpat" result = #11 0x00007fffef50503f in import_module_level (name=0x0, name@entry= 0x7fffe4bc0354 "pyexpat", globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "xml.parsers.pyexpat\000\377\177\000\000\002\000\000\000\000\000\000\000\020\000\000\000\000\000\000\000\001\001\001\001\001\001\001\001\000O\035\357\377\177\000\000$\363\273\344\377\177\000\000\022\000\000\000\000\000\000\000\027(U\357\377\177\000\000\000\000\000\000\000\000\000\000\220\313 \357\377\177\000\000 \374\005\344\377\177\000\000vGJ\357\377\177\000\000\000\311\031\357\377\177\000\000h\020\274\344\377\177\000\000@\317x\357\377\177\000\000P\273\273\344\377\177\000\000\000\000\000\000\000\000\000\000g\300O\357\377\177\000\000\360\303\300\344\377\177\000\000$\363\273\344\377\177\000\000\340\376z\357\377\177\000\000f%P\357\377\177\000\000\b\237\263\344\377\177\000\000$\363\273\344\377\177\000\000\003\374\000\000\000\000\000\000\360xI\357\377\177\000\000p\232\272\344\377\177\000\000\362\037K\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000\220W\361\367\377\177\000\000P\273\273\344\377\177\000\000p\232\272\344\377\177\000\000@\317x\357\377\177\000\000\001\000\000\000\000\000\000\000"... buflen = 19 head = next = tail = #12 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4bc0354 "pyexpat", globals= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , fromlist=('*',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #13 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4bc0354 "pyexpat" globals = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , arg=arg@entry= ('pyexpat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #15 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('pyexpat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': #16 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4c0cb80, for file /usr/lib64/python2.7/xml/parsers/expat.py, line 4, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4c0cd00 next_instr = 0x7fffe4bbbba9 "Td\004" opcode = oparg = why = 1 err = 0 x = v = w = ('pyexpat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': stream = 0x0 fastlocals = 0x7fffe4c0ccf8 freevars = 0x7fffe4c0ccf8 retval = 0x0 tstate = co = 0x7fffe4bbe830 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4bbbb94 "d" names = ('__doc__', '__version__', 'pyexpat') consts = ('Interface to the Expat non-validating XML parser.', '$Revision: 17640 $', -1, ('*',), None) #17 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4bbe830, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #18 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4bbe830, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , pathname=pathname@entry= 0x7ffff7f16a70 "/usr/lib64/python2.7/xml/parsers/expat.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 8626, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 114, st_blksize = 4096, st_blocks = 8, st_atim = {tv_sec = 1344533040, tv_nsec = 0}, st_mtim = {tv_sec = 1344533040, tv_nsec = 0}, st_ctim = {tv_sec = 1365687831, tv_nsec = 821343981}, __unused = {0, 0, 0}} fpc = 0x7fffe4c0ca40 buf = "/usr/lib64/python2.7/xml/parsers/expat.pyc\000rsers/__init__.py\000le.so", '\000' , "`\367y\357\377\177\000\000\001\000\000\000\000\000\000\000@\317x\357\377\177\000\000ŋ\361\367\377\177\000\000\b\232|\357\377\177\000\000\000\000\000\000\000\000\000\000\351\021R\357\377\177\000\000`\367y\357\377\177\000\000\036\023R\357\377\177\000\000\000\000\000\000\000\000\000\000\001\000\000\000\000\000\000\000\070y\361\367\377\177\000\000o\326J\357\377\177\000\000\000\000\000\000\000\000\000\000\360xI\357\377\177\000\000p\232\272\344\377\177\000\000\362\037K\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000 z\361\367\377\177\000\000P\273\273\344\377\177\000\000p\232\272\344\377\177\000\000@\317x\357\377\177"... cpathname = 0x7fffe4c0ca40 "`\313\300\344\377\177" co = 0x7fffe4bbe830 m = #21 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f18bc0 "xml.parsers.expat", fp=, pathname=pathname@entry= 0x7ffff7f17af0 "/usr/lib64/python2.7/xml/parsers/expat.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #22 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f18bcc "expat", fullname=fullname@entry= 0x7ffff7f18bc0 "xml.parsers.expat") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib64/python2.7/xml/parsers/expat.py\000le.so\000so", '\000' "\300, V\000\344\377\177\000\000\240\002\274\344\377\177\000\000\061;\000\000\000\000\000\000\240\002\274\344\377\177\000\000\027\200\321Z\353_\266w\003\000\000\000\000\000\000\000\060\061\004\344\377\177\000\000\240\002\274\344\377\177\000\000|\001\000\000\000\000\000\000\240\236y\357\377\177\000\000\027\200\321Z\353_\266w\003\000\000\000\000\000\000\000\221'I\357\377\177\000\000\300\213\361\367\377\177\000\000\270\213\361\367\377\177\000\000\003\000\000\000\000\000\000\000\060\061\004\344\377\177\000\000\240\236y\357\377\177\000\000|\001\000\000\000\000\000\000\300\213\361\367\377\177\000\000\270\213\361\367\377\177\000\000\003\000\000\000\000\000\000\000\062MI\357\377\177\000\000\000\000\000\000\000\000\000\000J9I\357\377\177\000\000\060\061"... fp = 0x7fffe4c0c4f0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #23 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f18ba8, buf=buf@entry=0x7ffff7f18bc0 "xml.parsers.expat", p_buflen=p_buflen@entry= 0x7ffff7f18bb8) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4bb2fb0 "expat" dot = len = 5 p = 0x7ffff7f18bcc "expat" result = #24 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4bb2fa4 "xml.parsers.expat", globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "xml.parsers.expat", '\000' , "0\216\361\367\377\177\000\000\t\000\000\000\000\000\000\000`\002\000\000\000\000\000\000\001", '\000' , "$\000\000\000\071\000\000\000\351NS\357\377\177\000\000\240\216\361\367\001\000\000\000п\300\344\377\177\000\000\000\000\000\000\000\000\000\000\033\000\000\000\000\000\000\000\220\275\000\344\377\177\000\000\067e\244\362\377\177\000\000\360q\244\362\377\177", '\000' , "Pi\300\344\377\177\000\000p\252\300\344\377\177\000\000\320\000\000\000\000\000\000\000 \000\000\344\377\177\000\000 \001\000\000\000\000\000\000 j\300\344\377\177\000\000\070ڤ\362\377\177\000\000 \001\274\344\377\177\000\000ZCJ\357\377\177\000\000`i\300\344\377\177\000\000\256\071I\357\377\177\000\000\020\240$\356\377\177\000\000 \001\000\000\000\000\000\000\320\000\000\000\000\000\000\000\300V\000\344\377\177"... buflen = 17 head = next = tail = #25 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4bb2fa4 "xml.parsers.expat", globals= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , fromlist=('ParserCreate',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #26 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4bb2fa4 "xml.parsers.expat" globals = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , arg=arg@entry= ('xml.parsers.expat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': <...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #28 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('xml.parsers.expat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': <...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #29 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4c0c230, for file /usr/lib/python2.7/site-packages/dbus/_expat_introspect_parser.py, line 26, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4c0c3b0 next_instr = 0x7fffe4bbc12d "m\001" opcode = oparg = why = 1 err = 0 x = v = w = ('xml.parsers.expat', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': <...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4c0c3a8 freevars = 0x7fffe4c0c3a8 retval = 0x0 tstate = co = 0x7fffe4bbe7b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4bbc124 "d" names = ('xml.parsers.expat', 'ParserCreate', 'dbus.exceptions', 'IntrospectionParserException', 'object', '_Parser', 'process_introspection_data') consts = (-1, ('ParserCreate',), ('IntrospectionParserException',), '_Parser', , , None) #30 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4bbe7b0, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #31 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4bbe7b0, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , pathname=pathname@entry= 0x7ffff7f1a0d0 "/usr/lib/python2.7/site-packages/dbus/_expat_introspect_parser.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 131866, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 3376, st_blksize = 4096, st_blocks = 8, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 308116159}, __unused = {0, 0, 0}} fpc = 0x7fffe4c0c210 buf = "/usr/lib/python2.7/site-packages/dbus/_expat_introspect_parser.pyc", '\000' , "ZCJ\357\377\177\000\000\000\000\000\000\000\000\000\000\256\071I\357\377\177\000\000\020\240$\356\377\177", '\000' "\220, \243\266\344\377\177\000\000\220? \357\377\177\000\000\021\266\356?\227()\"\000\231y\357\377\177\000\000\220,\271\344\377\177\000\000\000\000\000\000\000\000\000\000\374EI\357\377\177\000\000`\f\275\344\377\177\000\000\220? \357\377\177\000\000\220? \357\377\177\000\000\000\000\000\000\000\000\000\000\220? \357\377\177\000\000\000\000\000\000\000\000\000\000\220\243\266\344\377\177\000\000l\236I\357\377\177\000\000\030\000\000\000\000\000\000\000\220? \357\377\177\000\000\220"... cpathname = 0x7fffe4c0c210 "xٻ\344\377\177" co = 0x7fffe4bbe7b0 m = #34 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f1c220 "dbus._expat_introspect_parser", fp=, pathname=pathname@entry= 0x7ffff7f1b150 "/usr/lib/python2.7/site-packages/dbus/_expat_introspect_parser.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #35 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f1c225 "_expat_introspect_parser", fullname=fullname@entry= 0x7ffff7f1c220 "dbus._expat_introspect_parser") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus/_expat_introspect_parser.py\000le.so", '\000' , "?\324S\357\377\177\000\000+", '\000' "\324, S\357\377\177\000\000+", '\000' "\365, IJ\357\377\177", '\000' , "\035\273\344\377\177\000\000+\000\000\000\000\000\000\000\240\277\361\367\377\177\000\000iDJ\357\377\177\000\000?\324S\357\377\177\000\000\220\001\000\000\000\000\000\000\b\300\361\367\377\177\000\000\035\261J\357\377\177\000\000\000\000\000\000\000\000\000\000 \300\361\367\377\177\000\000\000\035\273\344\377\177\000\000 \000\000\000\060\000\000\000\360\300\361\367\377\177\000\000 \300\361\367\377\177\000\000\000\000\000\000\000\000\000\000\220"... fp = 0x7fffe4c0bfd0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #36 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f1c208, buf=buf@entry=0x7ffff7f1c220 "dbus._expat_introspect_parser", p_buflen=p_buflen@entry=0x7ffff7f1c218) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4bbf179 "_expat_introspect_parser" dot = len = 24 p = 0x7ffff7f1c225 "_expat_introspect_parser" result = #37 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4bbf174 "dbus._expat_introspect_parser", globals=globals@entry= {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "dbus._expat_introspect_parser", '\000' , "ZCJ\357\377\177\000\000\000\000\000\000\000\000\000\000\256\071I\357\377\177\000\000\020\240$\356\377\177", '\000' "\300, V\000\344\377\177\000\000\240\353\272\344\377\177\000\000PA\247\016\352Y\025\315|\360|\357\377\177\000\000\220\260\273\344\377\177\000\000\000\000\000\000\000\000\000\000\374EI\357\377\177\000\000\000\360|\357\377\177\000\000\004", '\000' "\365, IJ\357\377\177\000\000\240\353\272\344\377\177\000\000h\304\361\367\377\177\000\000=\000\000\000\000\000\000\000\310\026\272\344\377\177\000\000\220P\031\357\377\177\000\000\245\202H\357\377\177\000\000@\325\361\367\377\177\000\000t\000\000\000\000\000\000\000\004\000\000\000\000\000\000\000yxP\357\377\177\000\000p\000\000\344\377\177\000\000\320\303\361\367\377\177\000\000\222'U\357\377\177\000\000\310\000\000\000\000\000\000\000"... buflen = 29 head = next = tail = #38 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4bbf174 "dbus._expat_introspect_parser", globals= {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , fromlist=('process_introspection_data',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #39 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4bbf174 "dbus._expat_introspect_parser" globals = {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , arg=arg@entry= ('dbus._expat_introspect_parser', {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'Name...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #41 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus._expat_introspect_parser', {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'Name...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #42 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4c12cd0, for file /usr/lib/python2.7/site-packages/dbus/proxies.py, line 34, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4c12e50 next_instr = 0x7fffe4c0ac0d "m\a" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus._expat_introspect_parser', {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'Name...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4c12e48 freevars = 0x7fffe4c12e48 retval = 0x0 tstate = co = 0x7fffe4bbe3b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4c0abb4 "d" names = ('logging', 'threading', 'RLock', 'ImportError', 'dummy_threading', '_dbus_bindings', 'dbus._expat_introspect_parser', 'process_introspection_data', 'dbus.exceptions', 'DBusException', 'IntrospectionParserException', 'MissingErrorHandlerException', 'MissingReplyHandlerException', '__docformat__', 'getLogger', '_logger', 'BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'INTROSPECTABLE_IFACE', 'LOCAL_PATH', 'dbus._compat', 'is_py2', '_DeferredMethod', '_ProxyMethod', 'object', 'ProxyObject', 'Interface') consts = (-1, None, ('RLock',), ('process_introspection_data',), ('DBusException', 'IntrospectionParserException', 'MissingErrorHandlerException', 'MissingReplyHandlerException'), 'restructuredtext', 'dbus.proxies', ('BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'INTROSPECTABLE_IFACE', 'LOCAL_PATH'), ('is_py2',), '_DeferredMethod', , '_ProxyMethod', , 'ProxyObject', , 'Interface', ) #43 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4bbe3b0, globals=globals@entry= {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #44 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4bbe3b0, globals=globals@entry= {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , pathname=pathname@entry= 0x7ffff7f1d730 "/usr/lib/python2.7/site-packages/dbus/proxies.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'logging': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 131879, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 24788, st_blksize = 4096, st_blocks = 56, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 323116174}, __unused = {0, 0, 0}} fpc = 0x7fffe4c0a950 buf = "/usr/lib/python2.7/site-packages/dbus/proxies.pyc", '\000' , "`\367y\357\377\177\000\000\001\000\000\000\000\000\000\000@\317x\357\377\177\000\000\205\370\361\367\377\177\000\000\b\232|\357\377\177\000\000\000\000\000\000\000\000\000\000\351\021R\357\377\177\000\000`\367y\357\377\177\000\000\036\023R\357\377\177\000\000\000\000\000\000\000\000\000\000\001\000\000\000\000\000\000\000\370\345\361\367\377\177\000\000o\326J\357\377\177\000\000\000\000\000\000\000\000\000\000\360xI\357\377\177\000\000p\232\272\344\377\177\000\000\362\037K\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000\340\346\361\367\377\177\000\000Pݺ\344\377\177\000\000p\232\272\344\377\177\000\000@\317x\357\377\177\000\000\205\370\361\367\377\177\000\000\017\004F\357\377\177\000\000\000\000\000\000\000\000\000\000\340Zx\357"... cpathname = 0x7fffe4c0a950 "\260,\301\344\377\177" co = 0x7fffe4bbe3b0 m = #47 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f1f880 "dbus.proxies", fp=, pathname=pathname@entry= 0x7ffff7f1e7b0 "/usr/lib/python2.7/site-packages/dbus/proxies.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #48 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f1f885 "proxies", fullname=fullname@entry= 0x7ffff7f1f880 "dbus.proxies") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus/proxies.py\000le.so", '\000' , "?\324S\357\377\177\000\000+", '\000' "\324, S\357\377\177\000\000+", '\000' "\365, IJ\357\377\177", '\000' , " \026\273\344\377\177\000\000+\000\000\000\000\000\000\000\000\366\361\367\377\177\000\000iDJ\357\377\177\000\000?\324S\357\377\177\000\000\220\001\000\000\000\000\000\000h\366\361\367\377\177\000\000\035\261J\357\377\177\000\000\000\000\000\000\000\000\000\000\200\366\361\367\377\177\000\000 \026\273\344\377\177\000\000 \000\000\000\060\000\000\000P\367\361\367\377\177\000\000\200\366\361\367\377\177\000\000\000\000\000\000\000\000\000\000\220? \357\377\177\000\000\340\335x\357\377\177\000\000"... fp = 0x7fffe4c0a480 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #49 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f1f868, buf=buf@entry=0x7ffff7f1f880 "dbus.proxies", p_buflen=p_buflen@entry= 0x7ffff7f1f878) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4ba0059 "proxies" dot = len = 7 p = 0x7ffff7f1f885 "proxies" result = #50 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4ba0054 "dbus.proxies", globals=globals@entry= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated), fromlist=fromlist@entry=('ProxyObject',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "dbus.proxies\000\000s\000ngs\000\000\000\000\000\220$\273\344\377\177", '\000' "\300, V\000\344\377\177\000\000\310$\273\344\377\177\000\000\373:\000\000\000\000\000\000\310$\273\344\377\177\000\000\276+Ї\250J\337\022\000\000\000\000\000\000\000\000\221'I\357\377\177\000\000\000\000\000\000\000\000\000\000\300V\000\344\377\177\000\000\310$\273\344\377\177\000\000\300V\000\344\377\177\000\000\310$\273\344\377\177\000\000\240\v\362\367\377\177\000\000\016", '\000' "\202, \273\344\377\177\000\000\016", '\000' "\365, IJ\357\377\177\000\000\310$\273\344\377\177\000\000\310\372\361\367\377\177\000\000\252\000\000\000\000\000\000\000\240\v\362\367\377\177\000\000s\000\000\000\000\000\000\000\250hP\357\377\177\000\000\240\v\362\367\377\177\000\000s\000\000\000\000\000\000\000\016\000\000\000\000\000\000\000\370iP\357\377\177\000\000p\000\000\344\377\177\000\000\060\372\361\367\377\177\000\000\222'"... buflen = 12 head = next = tail = #51 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4ba0054 "dbus.proxies", globals= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated), locals=, fromlist=('ProxyObject',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #52 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4ba0054 "dbus.proxies" globals = {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated) locals = {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated) fromlist = ('ProxyObject',) level = -1 #53 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('dbus.proxies', {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved....(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #54 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus.proxies', {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved....(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #55 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4c07660, for file /usr/lib/python2.7/site-packages/dbus/connection.py, line 37, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4c077e0 next_instr = 0x7fffe4c07949 "m\027" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus.proxies', {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved....(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4c077d8 freevars = 0x7fffe4c077d8 retval = 0x0 tstate = co = 0x7fffe4bb3e30 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4c078a4 "d\020" names = ('__all__', '__docformat__', 'logging', 'threading', 'weakref', '_dbus_bindings', 'Connection', '_Connection', 'LOCAL_IFACE', 'LOCAL_PATH', 'validate_bus_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path', 'dbus.exceptions', 'DBusException', 'dbus.lowlevel', 'ErrorMessage', 'HANDLER_RESULT_NOT_YET_HANDLED', 'MethodCallMessage', 'MethodReturnMessage', 'SignalMessage', 'dbus.proxies', 'ProxyObject', 'dbus._compat', 'is_py2', 'is_py3', 'String', 'UTF8String', 'getLogger', '_logger', '_noop', 'object', 'SignalMatch') consts = ('Connection', 'SignalMatch', 'reStructuredText', -1, None, ('Connection', 'LOCAL_IFACE', 'LOCAL_PATH', 'validate_bus_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path'), ('DBusException',), ('ErrorMessage', 'HANDLER_RESULT_NOT_YET_HANDLED', 'MethodCallMessage', 'MethodReturnMessage', 'SignalMessage'), ('ProxyObject',), ('is_py2', 'is_py3'), ('String',), ('UTF8String',), 'dbus.connection', , , , ('Connection', 'SignalMatch')) #56 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4bb3e30, globals=globals@entry= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated), locals=locals@entry= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #57 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4bb3e30, globals=globals@entry= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated), locals=locals@entry= {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #58 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f22ee0 "dbus.connection", co=co@entry= , pathname=pathname@entry= 0x7ffff7f20d90 "/usr/lib/python2.7/site-packages/dbus/connection.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'_Connection': , 'MethodReturnMessage': , 'SignalMessage': , '__docformat__': 'reStructuredText', 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , '__all__': ('Connection', 'SignalMatch'), '__package__': 'dbus', '__doc__': None, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c)...(truncated) v = '/usr/lib/python2.7/site-packages/dbus/connection.pyc' #59 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f22ee0 "dbus.connection", pathname= 0x7ffff7f20d90 "/usr/lib/python2.7/site-packages/dbus/connection.pyc", pathname@entry= 0x7ffff7f21e10 "/usr/lib/python2.7/site-packages/dbus/connection.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 131869, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 27773, st_blksize = 4096, st_blocks = 56, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 313116164}, __unused = {0, 0, 0}} fpc = 0x7fffe4c07640 buf = "/usr/lib/python2.7/site-packages/dbus/connection.pyc", '\000' , "`\367y\357\377\177\000\000\001\000\000\000\000\000\000\000@\317x\357\377\177\000\000\345.\362\367\377\177\000\000\b\232|\357\377\177\000\000\000\000\000\000\000\000\000\000\351\021R\357\377\177\000\000`\367y\357\377\177\000\000\036\023R\357\377\177\000\000\000\000\000\000\000\000\000\000\001\000\000\000\000\000\000\000X\034\362\367\377\177\000\000o\326J\357\377\177\000\000\000\000\000\000\000\000\000\000\360xI\357\377\177\000\000p\232\272\344\377\177\000\000\362\037K\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000@\035\362\367\377\177\000\000\220Ժ\344\377\177\000\000p\232\272\344\377\177\000\000@\317x\357\377\177\000\000\345.\362\367\377\177\000\000\017\004F\357\377\177\000\000\000\000\000\000\000\000\000\000\340"... cpathname = 0x7fffe4c07640 "\330'\273\344\377\177" co = 0x7fffe4bb3e30 m = #60 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f22ee0 "dbus.connection", fp=, pathname=pathname@entry= 0x7ffff7f21e10 "/usr/lib/python2.7/site-packages/dbus/connection.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #61 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f22ee5 "connection", fullname=fullname@entry= 0x7ffff7f22ee0 "dbus.connection") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus/connection.py\000le.so", '\000' , "?\324S\357\377\177\000\000+", '\000' "\324, S\357\377\177\000\000+", '\000' "\365, IJ\357\377\177", '\000' , " \021\273\344\377\177\000\000+\000\000\000\000\000\000\000`,\362\367\377\177\000\000iDJ\357\377\177\000\000?\324S\357\377\177\000\000\220\001\000\000\000\000\000\000\310,\362\367\377\177\000\000\035\261J\357\377\177\000\000\000\000\000\000\000\000\000\000\340,\362\367\377\177\000\000 \021\273\344\377\177\000\000 \000\000\000\060\000\000\000\260-\362\367\377\177\000\000\340,\362\367\377\177\000\000\000\000\000\000\000\000\000\000\220? \357\377\177"... fp = 0x7fffe4c06720 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #62 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f22ec8, buf=buf@entry=0x7ffff7f22ee0 "dbus.connection", p_buflen=p_buflen@entry= 0x7ffff7f22ed8) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4baac99 "connection" dot = len = 10 p = 0x7ffff7f22ee5 "connection" result = #63 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4baac94 "dbus.connection", globals=globals@entry= {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "dbus.connection\000ngs\000\000\000\000\000\360\340\033\357\377\177", '\000' "\300, V\000\344\377\177\000\000\220l\272\344\377\177\000\000ZCJ\357\377\177\000\000\220l\272\344\377\177\000\000\256\071I\357\377\177\000\000\020\240$\356\377\177\000\000\221'I\357\377\177\000\000\000\000\000\000\000\000\000\000\300V\000\344\377\177\000\000@n\272\344\377\177\000\000PA\247\016\352Y\025\315|\360|\357\377\177\000\000\000B\362\367\377\177\000\000\004", '\000' "\212, \272\344\377\177\000\000\004", '\000' "\365, IJ\357\377\177\000\000`\367y\357\377\177\000\000\000\377\vy\322\352;\256N\000\000\000\000\000\000\000\000B\362\367\377\177\000\000s\000\000\000\000\000\000\000\250hP\357\377\177\000\000\000B\362\367\377\177\000\000R\000\000\000\000\000\000\000\001\000\000\000\000\000\000\000\250lP\357\377\177\000\000\260\060\362\367\377\177\000\000iD"... buflen = 15 head = next = tail = #64 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4baac94 "dbus.connection", globals= {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , fromlist=('Connection',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #65 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4baac94 "dbus.connection" globals = {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , arg=arg@entry= ('dbus.connection', {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDe...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #67 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus.connection', {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDe...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #68 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4c06570, for file /usr/lib/python2.7/site-packages/dbus/bus.py, line 39, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4c066f0 next_instr = 0x7fffe4c04265 "m\035" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus.connection', {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDe...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4c066e8 freevars = 0x7fffe4c066e8 retval = 0x0 tstate = co = 0x7fffe4babd30 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4c041a4 "d\017" names = ('__all__', '__docformat__', 'logging', 'weakref', '_dbus_bindings', 'BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'BUS_SESSION', 'BUS_STARTER', 'BUS_SYSTEM', 'DBUS_START_REPLY_ALREADY_RUNNING', 'DBUS_START_REPLY_SUCCESS', 'NAME_FLAG_ALLOW_REPLACEMENT', 'NAME_FLAG_DO_NOT_QUEUE', 'NAME_FLAG_REPLACE_EXISTING', 'RELEASE_NAME_REPLY_NON_EXISTENT', 'RELEASE_NAME_REPLY_NOT_OWNER', 'RELEASE_NAME_REPLY_RELEASED', 'REQUEST_NAME_REPLY_ALREADY_OWNER', 'REQUEST_NAME_REPLY_EXISTS', 'REQUEST_NAME_REPLY_IN_QUEUE', 'REQUEST_NAME_REPLY_PRIMARY_OWNER', 'validate_bus_name', 'validate_error_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path', 'dbus.connection', 'Connection', 'dbus.exceptions', 'DBusException', 'dbus.lowlevel', 'HANDLER_RESULT_NOT_YET_HANDLED', 'dbus._compat', 'is_py2', '_NAME_OWNER_CHANGE_MATCH', '_NAME_HAS_NO_OWNER', 'getLogger', '_logger', 'object', 'NameOwnerWatch', 'BusConnection') consts = ('BusConnection', 'reStructuredText', -1, None, ('BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'BUS_SESSION', 'BUS_STARTER', 'BUS_SYSTEM', 'DBUS_START_REPLY_ALREADY_RUNNING', 'DBUS_START_REPLY_SUCCESS', 'NAME_FLAG_ALLOW_REPLACEMENT', 'NAME_FLAG_DO_NOT_QUEUE', 'NAME_FLAG_REPLACE_EXISTING', 'RELEASE_NAME_REPLY_NON_EXISTENT', 'RELEASE_NAME_REPLY_NOT_OWNER', 'RELEASE_NAME_REPLY_RELEASED', 'REQUEST_NAME_REPLY_ALREADY_OWNER', 'REQUEST_NAME_REPLY_EXISTS', 'REQUEST_NAME_REPLY_IN_QUEUE', 'REQUEST_NAME_REPLY_PRIMARY_OWNER', 'validate_bus_name', 'validate_error_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path'), ('Connection',), ('DBusException',), ('HANDLER_RESULT_NOT_YET_HANDLED',), ('is_py2',), "type='signal',sender='%s',interface='%s',member='NameOwnerChanged',path='%s',arg0='%%s'", 'org.freedesktop.DBus.Error.NameHasNoOwner', 'dbus.bus', 'NameOwnerWatch', , , ('BusConnection',)) #69 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4babd30, globals=globals@entry= {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #70 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4babd30, globals=globals@entry= {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , pathname=pathname@entry= 0x7ffff7f243f0 "/usr/lib/python2.7/site-packages/dbus/bus.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'RELEASE_NAME_REPLY_NOT_OWNER': 3, 'REQUEST_NAME_REPLY_EXISTS': 3, 'RELEASE_NAME_REPLY_RELEASED': 1, 'validate_error_name': , 'validate_member_name': , '__docformat__': 'reStructuredText', 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', '__name__': 'dbus.bus', 'REQUEST_NAME_REPLY_PRIMARY_OWNER': 1, '__all__': ('BusConnection',), 'NAME_FLAG_REPLACE_EXISTING': 2, 'REQUEST_NAME_REPLY_ALREADY_OWNER': 4, 'RELEASE_NAME_REPLY_NON_EXISTENT': 2, '__package__': 'dbus', 'NAME_FLAG_DO_NOT_QUEUE': 4, 'REQUEST_NAME_REPLY_IN_QUEUE': 2, '__doc__': None, 'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 131868, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 17927, st_blksize = 4096, st_blocks = 40, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 311116162}, __unused = {0, 0, 0}} fpc = 0x7fffe4c03f40 buf = "/usr/lib/python2.7/site-packages/dbus/bus.pyc", '\000' "\224, \300\336\367\377\177\000\000\000\000\000\000\000\000\000\000;\225^\355\377\177\000\000\060\275\226UUU\000\000\262B\336\367\377\177\000\000\000\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000\000\000\000\000\000\000\000\000;R\236\362\377\177\000\000\310\064\376\367\377\177\000\000\262B\336\367\377\177\000\000\002\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000p\000\000\344\377\177\000\000\253\270<\304\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000\000\000\000\000\000\000\000\000\233\270<\304\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\002\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000@\a\330\362\377\177\000\000Y\315\352\302\377\177\000\000\000\000\000\000\377"... cpathname = 0x7fffe4c03f40 "Pe\300\344\377\177" co = 0x7fffe4babd30 m = #73 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f26540 "dbus.bus", fp=, pathname=pathname@entry= 0x7ffff7f25470 "/usr/lib/python2.7/site-packages/dbus/bus.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #74 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f26545 "bus", fullname=fullname@entry=0x7ffff7f26540 "dbus.bus") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus/bus.py\000le.so\000c\000e.so\000.so", '\000' , "?\324S\357\377\177\000\000+", '\000' "\324, S\357\377\177\000\000+", '\000' "\365, IJ\357\377\177", '\000' , "@9\271\344\377\177\000\000+\000\000\000\000\000\000\000\000_\362\367\377\177\000\000iDJ\357\377\177\000\000?\324S\357\377\177\000\000\220\001\000\000\000\000\000\000h_\362\367\377\177\000\000\035\261J\357\377\177\000\000\000\000\000\000\000\000\000\000\200_\362\367\377\177\000\000@9\271\344\377\177\000\000 \000\000\000\060\000\000\000P`\362\367\377\177\000\000\200_\362\367\377\177\000\000?\324S\357\377\177\000\000\220"... fp = 0x7fffe4c03d00 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #75 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f26528, buf=buf@entry=0x7ffff7f26540 "dbus.bus", p_buflen=p_buflen@entry= 0x7ffff7f26538) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4ba6aa9 "bus" dot = len = 3 p = 0x7ffff7f26545 "bus" result = #76 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4ba6aa4 "dbus.bus", globals=globals@entry= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated), fromlist=fromlist@entry=('BusConnection',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "dbus.bus\000\000ings\000ings\000\377\177\000\000\002\000\000\000\000\000\000\000\020\000\000\000\000\000\000\000\001\001\001\001\001\001\001\001\000\000\000\000\000\000\000\000\224[\265\344\377\177\000\000\022\000\000\000\000\000\000\000\027(U\357\377\177\000\000\000\000\000\000\000\000\000\000\220\313 \357\377\177\000\000 \374\005\344\377\177\000\000vGJ\357\377\177\000\000\000\000\000\000\000\000\000\000h\000\272\344\377\177\000\000@\317x\357\377\177\000\000P\363\271\344\377\177\000\000\000\000\000\000\000\000\000\000g\300O\357\377\177\000\000\000\000\000\000\000\000\000\000\224[\265\344\377\177\000\000\340\376z\357\377\177\000\000f%P\357\377\177\000\000\000\000\000\000\000\000\000\000\224[\265\344\377\177\000\000\003\374\000\000\000\000\000\000\360xI\357\377\177\000\000@\215\271\344\377\177\000\000\362\037K\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000pg\362\367\377\177\000\000"... buflen = 8 head = next = tail = #77 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4ba6aa4 "dbus.bus", globals= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated), locals=, fromlist=('BusConnection',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #78 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4ba6aa4 "dbus.bus" globals = {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated) locals = {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated) fromlist = ('BusConnection',) level = -1 #79 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('dbus.bus', {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name=...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #80 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus.bus', {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name=...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #81 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4beee70, for file /usr/lib/python2.7/site-packages/dbus/_dbus.py, line 39, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4beeff0 next_instr = 0x7fffe4bf3a01 "m\025" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus.bus', {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name=...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4beefe8 freevars = 0x7fffe4beefe8 retval = 0x0 tstate = co = 0x7fffe4b95eb0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4bf3974 "d" names = ('__doc__', '__future__', 'generators', '__all__', '__docformat__', 'dbus.exceptions', 'DBusException', '_dbus_bindings', 'BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'BUS_SESSION', 'BUS_STARTER', 'BUS_SYSTEM', 'DBUS_START_REPLY_ALREADY_RUNNING', 'DBUS_START_REPLY_SUCCESS', 'validate_bus_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path', 'dbus.bus', 'BusConnection', 'dbus.lowlevel', 'SignalMessage', 'dbus._compat', 'is_py2', 'UTF8String', 'Bus', 'SystemBus', 'SessionBus', 'StarterBus') consts = ('Implementation for dbus.Bus. Not to be imported directly.', -1, ('generators',), 'Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'reStructuredText', ('DBusException',), ('BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'BUS_SESSION', 'BUS_STARTER', 'BUS_SYSTEM', 'DBUS_START_REPLY_ALREADY_RUNNING', 'DBUS_START_REPLY_SUCCESS', 'validate_bus_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path'), ('BusConnection',), ('SignalMessage',), ('is_py2',), ('UTF8String',), , , , , None, ('Bus', 'SystemBus', 'SessionBus', 'StarterBus')) #82 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4b95eb0, globals=globals@entry= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated), locals=locals@entry= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #83 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4b95eb0, globals=globals@entry= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated), locals=locals@entry= {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #84 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f29ba0 "dbus._dbus", co=co@entry=, pathname=pathname@entry= 0x7ffff7f27a50 "/usr/lib/python2.7/site-packages/dbus/_dbus.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'BUS_DAEMON_IFACE': 'org.freedesktop.DBus', 'BUS_SESSION': 0, 'DBUS_START_REPLY_SUCCESS': 1, '__all__': ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', ...(truncated) v = '/usr/lib/python2.7/site-packages/dbus/_dbus.pyc' #85 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f29ba0 "dbus._dbus", pathname= 0x7ffff7f27a50 "/usr/lib/python2.7/site-packages/dbus/_dbus.pyc", pathname@entry= 0x7ffff7f28ad0 "/usr/lib/python2.7/site-packages/dbus/_dbus.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 131865, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 8804, st_blksize = 4096, st_blocks = 24, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 308116159}, __unused = {0, 0, 0}} fpc = 0x7fffe4beed30 buf = "/usr/lib/python2.7/site-packages/dbus/_dbus.pyc\000.pyc\000\177\000\000\320\036\271\344\377\177\000\000\000\000\000\000\000\000\000\000\340\211\271\344\377\177\000\000 \374\005\344\377\177\000\000i\nP\357\377\177\000\000\000\000\000\000\000\000\000\000\030\000\000\000\060\000\000\000\220{\362\367\377\177\000\000\320z\362\367\377\177", '\000' , "H|\362\367\377\177\000\000\002\000\000\000\000\000\000\000(\000\000\000\000\000\000\000\001\001\001\001\001\001\001\001\000\000\000\000\000\000\000\000\064\227\271\344\377\177\000\000\016\000\000\000\000\000\000\000\364\257U\357\377\177\000\000\000\000\000\000\000\000\000\000\340\211\271\344\377\177\000\000\000}\362\367\377\177\000\000vGJ\357\377\177\000\000\000\000\000\000\000\000\000\000\260*\271\344\377\177\000\000"... cpathname = 0x7fffe4beed30 "P\356\276\344\377\177" co = 0x7fffe4b95eb0 m = #86 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f29ba0 "dbus._dbus", fp=, pathname=pathname@entry= 0x7ffff7f28ad0 "/usr/lib/python2.7/site-packages/dbus/_dbus.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #87 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f29ba5 "_dbus", fullname=fullname@entry=0x7ffff7f29ba0 "dbus._dbus") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus/_dbus.py\000le.so\000e.so\000\000\000\000\000\000\000Pa\271\344\377\177\000\000J\263\033\320ɀ\234P\000\000\000\000\000\000\000\000\221'I\357\377\177\000\000\260\004\000\000\000\000\000\000\300V\000\344\377\177\000\000Pa\271\344\377\177\000\000\300V\000\344\377\177\000\000Pa\271\344\377\177\000\000\020\231\362\367\377\177\000\000\006", '\000' , "6\271\344\377\177\000\000\006", '\000' "\365, IJ\357\377\177\000\000`\367y\357\377\177\000\000\000\377\vy\322\352;\256A\001\000\000\000\000\000\000\020\231\362\367\377\177\000\000s\000\000\000\000\000\000\000\250hP\357\377\177\000\000\020\231\362\367\377\177\000\000s\000\000\000\000\000\000\000\006\000\000\000\000\000\000\000\370"... fp = 0x7fffe4bef540 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #88 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f29b88, buf=buf@entry=0x7ffff7f29ba0 "dbus._dbus", p_buflen=p_buflen@entry= 0x7ffff7f29b98) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4b96c59 "_dbus" dot = len = 5 p = 0x7ffff7f29ba5 "_dbus" result = #89 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4b96c54 "dbus._dbus", globals=globals@entry= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated), fromlist=fromlist@entry=('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "dbus._dbus\000ngs\000ings", '\000' , "\020\236\362\367\377\177\000\000\t\000\000\000\000\000\000\000`\002\000\000\000\000\000\000\001", '\000' , "$\000\000\000\071\000\000\000\351NS\357\377\177\000\000\200\236\362\367\377\177\000\000\360\352\276\344\377\177\000\000\000\000\000\000\000\000\000\000\026\000\000\000\000\000\000\000\220\275\000\344\377\177\000\000\067e\244\362\377\177\000\000\360q\244\362\377\177", '\000' , "\001\000\000\000\000\000\000\000\360\352\276\344\377\177\000\000\350NS\357\377\177\000\000\001\000\000\000\000\000\000\000|\360|\357\377\177\000\000\220\275\000\344\377\177\000\000 \301\362\367\377\177\000\000F\253\243\362\377\177", '\000' , "0\210\271\344\377\177\000\000 \301\362\367\377\177\000\000N\301\362\367\377\177\000\000\065\036P\357\377\177", '\000' , "p[\265\344\377\177\000\000P\240\362\367\377\177\000\000"... buflen = 10 head = next = tail = #90 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4b96c54 "dbus._dbus", globals= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated), locals=, fromlist= ('Bus', 'SystemBus', 'SessionBus', 'StarterBus'), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #91 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4b96c54 "dbus._dbus" globals = {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated) locals = {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated) fromlist = ('Bus', 'SystemBus', 'SessionBus', 'StarterBus') level = -1 #92 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('dbus._dbus', {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #93 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus._dbus', {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #94 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4bef060, for file /usr/lib/python2.7/site-packages/dbus/__init__.py, line 103, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4bef1e0 next_instr = 0x7fffe4befc40 "m7" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus._dbus', {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4bef1d8 freevars = 0x7fffe4bef1d8 retval = 0x0 tstate = co = 0x7fffe4b609b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4befa04 "d" names = ('__doc__', '__all__', 'dbus._compat', 'is_py2', 'append', '__docformat__', 'dbus._version', 'version', '__version__', 'ImportError', 'dbus.exceptions', 'exceptions', 'dbus.types', 'types', '_dbus_bindings', 'get_default_main_loop', 'set_default_main_loop', 'validate_bus_name', 'validate_error_name', 'validate_interface_name', 'validate_member_name', 'validate_object_path', 'BUS_DAEMON_IFACE', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'INTROSPECTABLE_IFACE', 'LOCAL_IFACE', 'LOCAL_PATH', 'PEER_IFACE', 'PROPERTIES_IFACE', 'DBusException', 'IntrospectionParserException', 'MissingErrorHandlerException', 'MissingReplyHandlerException', 'NameExistsException', 'UnknownMethodException', 'ValidationException', 'Array', 'Boolean', 'Byte', 'ByteArray', 'Dictionary', 'Double', 'Int16', 'Int32', 'Int64', 'ObjectPath', 'Signature', 'String', 'Struct', 'UInt16', 'UInt32', 'UInt64', 'UTF8String', 'dbus._dbus', 'Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'dbus.proxies', 'Interface') consts = ("Implements the public API for a D-Bus client. See the dbus.service module\nto export objects or claim well-known names.\n\n..\n for epydoc's benefit\n\n:NewField SupportedUsage: Supported usage\n:NewField Constructor: Constructor\n", 'Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'validate_interface_name', 'validate_member_name', 'validate_bus_name', 'validate_object_path', 'validate_error_name', 'BUS_DAEMON_NAME', 'BUS_DAEMON_PATH', 'BUS_DAEMON_IFACE', 'LOCAL_PATH', 'LOCAL_IFACE', 'PEER_IFACE', 'INTROSPECTABLE_IFACE', 'PROPERTIES_IFACE', 'ObjectPath', 'ByteArray', 'Signature', 'Byte', 'Boolean', 'Int16', 'UInt16', 'Int32', 'UInt32', 'Int64', 'UInt64', 'Double', 'String', 'Array', 'Struct', 'Dictionary', 'DBusException', 'MissingErrorHandlerException', 'MissingReplyHandlerException', 'ValidationException', 'IntrospectionParserException', 'UnknownMethodException', 'NameExistsException', 'service', 'mainloop', 'lowlevel', -1, ('is_py2',), 'UTF8S...(truncated) #95 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4b609b0, globals=globals@entry= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated), locals=locals@entry= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #96 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4b609b0, globals=globals@entry= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated), locals=locals@entry= {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #97 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f2e270 "dbus", co=co@entry=, pathname=pathname@entry= 0x7ffff7f2b0b0 "/usr/lib/python2.7/site-packages/dbus/__init__.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated) v = '/usr/lib/python2.7/site-packages/dbus/__init__.pyc' #98 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f2e270 "dbus", pathname= 0x7ffff7f2b0b0 "/usr/lib/python2.7/site-packages/dbus/__init__.pyc", pathname@entry= 0x7ffff7f2c120 "/usr/lib/python2.7/site-packages/dbus/__init__.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 132180, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 3824, st_blksize = 4096, st_blocks = 8, st_atim = {tv_sec = 1361808091, tv_nsec = 0}, st_mtim = {tv_sec = 1361808091, tv_nsec = 0}, st_ctim = {tv_sec = 1365695181, tv_nsec = 304116155}, __unused = {0, 0, 0}} fpc = 0x7fffe4beed30 buf = "/usr/lib/python2.7/site-packages/dbus/__init__.pyc", '\000' , "1\000\000\000<\000\000\000\\\000\000\000n\000\000\000w\000\000\000|\000\000\000\300\310x\357\377\177\000\000\300\310x\357\377\177\000\000(", '\000' , "\020\264\362\367\377\177\000\000 \264\362\367\377\177\000\000\351\021R\357\377\177\000\000\300\310x\357\377\177\000\000\313FJ\357\377\177\000\000Py\265\344\377\177\000\000\340\060K\357\377\177\000\000\340y\265\344\377\177\000\000\274nG\357\377\177\000\000P0\035\357\377\177\000\000\340y\265\344\377\177\000\000\300\310x\357\377\177\000\000\\1K\357\377\177\000\000Py\265\344\377\177\000\000\340\060K\357\377\177\000\000\300\310x\357\377\177\000\000\b\232|\357\377\177\000\000\030\264\362\367\377\177\000\000\016\374E\357\377\177\000\000\020\311x\344\377\177\000\000Ц\201\344\377\177\000\000"... cpathname = 0x7fffe4beed30 "P\356\276\344\377\177" co = 0x7fffe4b609b0 m = #99 0x00007fffef50427c in load_module (name=name@entry=0x7ffff7f2e270 "dbus", fp=, pathname=pathname@entry= 0x7ffff7f2c120 "/usr/lib/python2.7/site-packages/dbus/__init__.py", type=, loader=loader@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #100 0x00007fffef504526 in load_package (name=name@entry=0x7ffff7f2e270 "dbus", pathname=pathname@entry= 0x7ffff7f2d190 "/usr/lib/python2.7/site-packages/dbus") at /usr/src/debug/Python-2.7.3/Python/import.c:1074 m = d = {'ByteArray': , 'set_default_main_loop': , 'BUS_DAEMON_PATH': '/org/freedesktop/DBus', 'ValidationException': , 'INTROSPECTABLE_IFACE': 'org.freedesktop.DBus.Introspectable', '__docformat__': 'restructuredtext', 'IntrospectionParserException': , '__path__': ['/usr/lib/python2.7/site-packages/dbus'], 'validate_error_name': , 'validate_member_name': , 'LOCAL_IFACE': 'org.freedesktop.DBus.Local', 'DBusException': , 'get_default_main_loop': , 'Boolean': , 'Int32': , 'Int16': , 'String': , '__all__': ['Bus', 'SystemBus', 'SessionBus', 'StarterBus', 'Interface', 'get_default_main_loop', 'set_default_main_loop', 'v...(truncated) file = '/usr/lib/python2.7/site-packages/dbus' path = ['/usr/lib/python2.7/site-packages/dbus'] err = buf = "/usr/lib/python2.7/site-packages/dbus/__init__.py\000le.so", '\000' , "\020\310\362\367\377\177\000\000\000\000\000\000\000\000\000\000 \310\362\367\377\177\000\000\000\000\000\000\000\000\000\000@", '\000' , "\002\000\000\000\060\000\000\000[\000\000\000n\000\000\000w\000\000\000|\000\000\000\060\310\362\367\377\177", '\000' , " \000\000\344\377\177\000\000\005\000\000\000\000\000\000\000 \000\000\344\377\177\000\000\a\000\000\000\000\000\000\000\004\000\000\000\000\000\000\000\b\345\377\377\377\177\000\000\030\353\361\304\377\177\000\000\360\336\b\344\377\177\000\000C\344\244\362\377\177\000\000\005\000\000\000\000\000\000\000\247\000\321\304\377\177\000\000"... fp = 0x7fffe4beeaf0 fdp = #101 0x00007fffef504231 in load_module (name=name@entry=0x7ffff7f2e270 "dbus", fp=, pathname=pathname@entry= 0x7ffff7f2d190 "/usr/lib/python2.7/site-packages/dbus", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1836 modules = m = err = #102 0x00007fffef504856 in import_submodule (mod=mod@entry=None, subname=subname@entry=0x7ffff7f2e270 "dbus", fullname=fullname@entry= 0x7ffff7f2e270 "dbus") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/dbus\000__init__.py\000/dbus.pyc\000e.so\000o\000.so", '\000' "\224, \300\336\367\377\177\000\000p\000\000\344\377\177\000\000;R\236\362\377\177\000\000\310\064\376\367\377\177\000\000\262B\336\367\377\177\000\000\000\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000\000\000\000\000\000\000\000\000\202\300}\363\377\177\000\000\240Y\376\367\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000@\a\330\362\377\177\000\000J\252g\305\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000C\000\000\000\000\000\000\000:\252g\305\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000"... fp = 0x0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #103 0x00007fffef504b4a in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f2e248, buf=buf@entry=0x7ffff7f2e260 "pyanaconda.isys.dbus", p_buflen=p_buflen@entry=0x7ffff7f2e258) at /usr/src/debug/Python-2.7.3/Python/import.c:2419 name = 0x7fffe4b96654 "dbus" dot = len = 4 p = 0x7ffff7f2e270 "dbus" result = #104 0x00007fffef50503f in import_module_level (name=0x0, name@entry= 0x7fffe4b96654 "dbus", globals=globals@entry= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated), fromlist=fromlist@entry=None, level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "pyanaconda.isys.dbus\000t\000nda\000\357\377\177\000\000\240V\257\344\377\177\000\000\000\000\000\000\377\177\000\000\002\000\000\000\000\000\000\000\320\311\v\344\377\177\000\000\001", '\000' , " \000\000\000\070\000\000\000\\\000\000\000n\000\000\000w\000\000\000|\000\000\000\360\342\362\367\377\177", '\000' , "\006\000\000\000\220V\257\344\377\177\000\000\000\000\000\000\000\000\000\000\300\310\034\357\377\177\000\000 \000\000\344\377\177\000\000\360\001\000\000\000\000\000\000PN\004\344\377\177\000\000\340\266\v\344\377\177\000\000\000\311\004\344\377\177\000\000\000\000\000\000\000\000\000\000C\344\244\362\377\177\000\000\000\000\000\000\000\000\000\000\360\001\000\000\000\000\000\000\n\000\000\000\000\000\000\000\001\274I\357\377\177\000\000p\341\000\344\377\177\000\000\000[x\357\377\177\000\000\260\212\025\357\377\177"... buflen = 20 head = next = tail = #105 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4b96654 "dbus", globals= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated), locals=, fromlist=None, level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #106 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4b96654 "dbus" globals = {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated) locals = {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated) fromlist = None level = -1 #107 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('dbus', {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #108 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('dbus', {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #109 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe408d970, for file /usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.py, line 43, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe408daf0 next_instr = 0x7fffe4bcf7f9 "Z\017" opcode = oparg = why = 1 err = 0 x = v = w = ('dbus', {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe408dae8 freevars = 0x7fffe408dae8 retval = 0x0 tstate = co = 0x7fffe4b60830 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4bcf734 "y\024" names = ('pyanaconda', '_isys', 'ImportError', 'string', 'os', 'os.path', 'socket', 'stat', 'posix', 'sys', 'iutil', 'blivet.arch', 'blivet', 're', 'struct', 'dbus', 'logging', 'getLogger', 'log', 'arch', 'getArch', 'MIN_RAM', 'GUI_INSTALL_EXTRA_RAM', 'MIN_GUI_RAM', 'EARLY_SWAP_RAM', 'pathSpaceAvailable', 'resetResolv', 'modulesWithPaths', 'isPseudoTTY', 'sync', 'isIsoImage', 'None', 'isPAE', 'isPaeAvailable', 'getAnacondaVersion', 'auditdaemon', 'auditDaemon', 'handleSegv', 'printObject', 'bind_textdomain_codeset', 'isVioConsole', 'initLog', 'total_memory') consts = (-1, ('_isys',), None, ('iutil',), 'anaconda', 'ppc64', 768, 1024, 512, 0, 896, , , , , , , , , 786432, 524288, 524288, 917504) #110 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4b60830, globals=globals@entry= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated), locals=locals@entry= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #111 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4b60830, globals=globals@entry= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated), locals=locals@entry= {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #112 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f32920 "pyanaconda.isys", co=co@entry= , pathname=pathname@entry= 0x7ffff7f2f770 "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated) v = '/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.pyc' #113 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f32920 "pyanaconda.isys", pathname= 0x7ffff7f2f770 "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.pyc", pathname@entry= 0x7ffff7f307e0 "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 23724, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 3255, st_blksize = 4096, st_blocks = 8, st_atim = {tv_sec = 1365122794, tv_nsec = 0}, st_mtim = {tv_sec = 1365122794, tv_nsec = 0}, st_ctim = {tv_sec = 1365766440, tv_nsec = 821262330}, __unused = {0, 0, 0}} fpc = 0x7fffe4b6a370 buf = "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.pyc\000\244x'\344\377\177\000\000\320\362\t\344\377\177\000\000\252x'\344\377\177\000\000p\006;\344\377\177\000\000H\350,\344\377\177\000\000X\rJ\266\206c\361f\020s\t\344\377\177\000\000\020k7\344\377\177\000\000\000\000\000\000\000\000\000\000\374EI\357\377\177\000\000\020Ŵ\344\377\177\000\000H\350,\344\377\177\000\000\244x'\344\377\177\000\000\000\000\000\000\000\000\000\000H\350,\344\377\177\000\000\000\000\000\000\000\000\000\000p\006;\344\377\177\000\000l\236I\357\377\177\000\000\000\000\000\000\000\000\000\000\365IJ\357\377\177\000\000`\367y\357\377\177\000\000\020k7\344\377\177\000\000\244x'\344\377\177\000\000\320\362\t\344\377\177\000\000\252x"... cpathname = 0x7fffe4b6a370 "\200\374\276\344\377\177" co = 0x7fffe4b60830 m = #114 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f32920 "pyanaconda.isys", fp=, pathname=pathname@entry= 0x7ffff7f307e0 "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.py", type=, loader=loader@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #115 0x00007fffef504526 in load_package (name=name@entry= 0x7ffff7f32920 "pyanaconda.isys", pathname=pathname@entry= 0x7ffff7f31850 "/usr/lib64/python2.7/site-packages/pyanaconda/isys") at /usr/src/debug/Python-2.7.3/Python/import.c:1074 m = d = {'iutil': , 'stat': , 'string': , 'blivet': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Ri...(truncated) file = '/usr/lib64/python2.7/site-packages/pyanaconda/isys' path = ['/usr/lib64/python2.7/site-packages/pyanaconda/isys'] err = buf = "/usr/lib64/python2.7/site-packages/pyanaconda/isys/__init__.py\000le.so\000\177\000\000@7\222\305\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\002", '\000' , "\034\034\000\000\000\000\000\000\002", '\000' , "\005\000\000\000\377\177\000\000\000\020 ", '\000' "\260, # \000\000\000\000\000\210% \000\000\000\000\000\000\020\000\000\000\000\000\000\003\000\000\000\377\177\000\000\200%\000\000\000\000\000\000\265\004\000\000\000\000\000\000 \000\000\344\377\177\000\000-\000\000\000\000\000\000\000\300,\252\344\377\177\000\000\220ڪ\344"... fp = 0x7fffe4b738e0 fdp = #116 0x00007fffef504231 in load_module (name=name@entry= 0x7ffff7f32920 "pyanaconda.isys", fp=, pathname=pathname@entry= 0x7ffff7f31850 "/usr/lib64/python2.7/site-packages/pyanaconda/isys", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1836 modules = m = err = #117 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f3292b "isys", fullname=fullname@entry= 0x7ffff7f32920 "pyanaconda.isys") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib64/python2.7/site-packages/pyanaconda/isys\000__init__.py\000.so\000\357\377\177\000\000\002\000\000\000\000\000\000\000\344L\027\357\377\177\000\000\060\304\016\344\377\177\000\000\210\204\262\344\377\177\000\000\062MI\357\377\177\000\000\000\000\000\000\377\177\000\000\210\204\262\344\377\177\000\000\210\204\262\344\377\177\000\000\240\220x\357\377\177\000\000\240\236y\357\377\177\000\000\017\233I\357\377\177\000\000\000\000\000\000\377\177\000\000 =\030\357\377\177\000\000\240\236y\357\377\177\000\000\210\204\262\344\377\177\000\000\240\302\016\344\377\177\000\000>\006O\357\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\377\177\000\000\224\300\336\367\377\177\000\000\000\000\000\000\000\000\000\000]\221U\362\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\377"... fp = 0x0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #118 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f32908, buf=buf@entry=0x7ffff7f32920 "pyanaconda.isys", p_buflen=p_buflen@entry= 0x7ffff7f32918) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4b3d9c7 "isys" dot = len = 4 p = 0x7ffff7f3292b "isys" result = #119 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe4b3d9bc "pyanaconda.isys", globals=globals@entry= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated), fromlist=fromlist@entry=('sync',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "pyanaconda.isys\000conda\000\000\000`\271\263\344\377\177\000\000\000\001\000\000\000\000\000\000\230)\363\367\377\177\000\000\b\232|\357\377\177\000\000\240\236y\357\377\177\000\000\005\000\000\000\000\000\000\000n\000\000\000\377\177\000\000!nS\357\377\177\000\000x+\363\367\377\177\000\000\002\000\000\000\000\000\000\000 \000\000\344\377\177\000\000 \000\000\000\000\000\000\000\004\000\000\000\000\000\000\000\340\335j\344\377\177\000\000-\000\000\000\000\000\000\000@x\025\344\377\177\000\000C\344\244\362\377\177\000\000\340\335j\344\377\177\000\000\300V\000\344\377\177\000\000 \241\262\344\377\177\000\000ZCJ\357\377\177\000\000 \241\262\344\377\177\000\000J9I\357\377\177\000\000\020\240$\356\377\177\000\000\000\000\000\000\000\000\000\000\340\r\257\344\377\177\000\000\300V\000\344\377\177\000\000\260\351\262\344\377\177\000\000\027\341)\227\300\001)\027|\360|\357\377\177\000\000@<\363\367\377\177\000\000;", '\000' "\360"... buflen = 15 head = next = tail = #120 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4b3d9bc "pyanaconda.isys", globals= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated), locals=, fromlist=('sync',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #121 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4b3d9bc "pyanaconda.isys" globals = {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated) locals = {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated) fromlist = ('sync',) level = -1 #122 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('pyanaconda.isys', {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at ...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #123 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('pyanaconda.isys', {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at ...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #124 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe45c0f50, for file /usr/lib64/python2.7/site-packages/pyanaconda/bootloader.py, line 32, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe45c10d0 next_instr = 0x7fffe4b21959 "m\f" opcode = oparg = why = 1 err = 0 x = v = w = ('pyanaconda.isys', {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at ...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe45c10c8 freevars = 0x7fffe45c10c8 retval = 0x0 tstate = co = 0x7fffe4b49730 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4b218e4 "d" names = ('collections', 'sys', 'os', 're', 'struct', 'parted', 'PARTITION_BIOS_GRUB', 'pyanaconda', 'iutil', 'blivet.devicelibs', 'mdraid', 'pyanaconda.isys', 'sync', 'pyanaconda.product', 'productName', 'pyanaconda.flags', 'flags', 'pyanaconda.constants', 'blivet.errors', 'StorageError', 'blivet.fcoe', 'fcoe', 'pyanaconda.network', 'pyanaconda.nm', 'nm_device_hwaddress', 'blivet', 'platform', 'gettext', '_', 'N_', 'logging', 'getLogger', 'log', 'get_boot_block', 'is_windows_boot_block', 'has_windows_boot_block', 'object', 'serial_opts', 'parse_serial_opt', 'Exception', 'BootLoaderError', 'set', 'Arguments', 'BootLoaderImage', 'LinuxBootLoaderImage', 'TbootLinuxBootLoaderImage', 'BootLoader', 'GRUB', 'GRUB2', 'EFIGRUB', 'MacEFIGRUB', 'YabootBase', 'Yaboot', 'IPSeriesYaboot', 'IPSeriesGRUB2', 'MacYaboot', 'ZIPL', 'UBOOT', 'X86', 'EFI', 'MacEFI', 'PPC', 'IPSeriesPPC', 'NewWorldPPC', 'S390', 'ARM', 'omapARM', 'bootloader_by_platform', 'get_bootloader', 'writeSysconfigKernel', 'writeBootLoader') consts = (-1, None, ('PARTITION_BIOS_GRUB',), ('iutil',), ('mdraid',), ('sync',), ('productName',), ('flags',), ('*',), ('StorageError',), ('fcoe',), ('nm_device_hwaddress',), ('platform',), , , 'anaconda', 0, , , , 'serial_opts', , , 'BootLoaderError', , 'Arguments', , 'BootLoaderImage', , 'LinuxBootLoaderImage', , 'TbootLinuxBootLoaderImage', , 'BootLoader', , 'GRUB', , 'GRUB2', , 'EFIGRUB', , 'MacEFIGRUB', , 'YabootBase', , 'Yaboot', , '...(truncated) #125 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4b49730, globals=globals@entry= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated), locals=locals@entry= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #126 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4b49730, globals=globals@entry= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated), locals=locals@entry= {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #127 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f35f80 "pyanaconda.bootloader", co=co@entry= , pathname=pathname@entry= 0x7ffff7f33e30 "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'iutil': , 'struct': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe410131...(truncated) v = '/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.pyc' #128 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f35f80 "pyanaconda.bootloader", pathname= 0x7ffff7f33e30 "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.pyc", pathname@entry= 0x7ffff7f34eb0 "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 16129, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 79542, st_blksize = 4096, st_blocks = 160, st_atim = {tv_sec = 1365122796, tv_nsec = 0}, st_mtim = {tv_sec = 1365122796, tv_nsec = 0}, st_ctim = {tv_sec = 1365766440, tv_nsec = 784262403}, __unused = {0, 0, 0}} fpc = 0x7fffe4b6a370 buf = "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.pyc\000\177\000\000\002\000\000\000\000\000\000\000\061\373\060\360\377\177\000\000`\377\220\344\377\177\000\000\060\061\004\344\377\177\000\000`\377\220\344\377\177\000\000\247aI\357\377\177\000\000\002\000\000\000\000\000\000\000H\242[\344\377\177\000\000\000\000\000\000\377\177\000\000\320O\363\367\377\177\000\000\060\061\004\344\377\177\000\000TGP\357\377\177\000\000\000\374\204\344\377\177\000\000\213\344\364\310\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\001\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000\000\000\000\000\000\000\000\000~\344\364\310\377\177\000\000\000\000\000\000\377\177\000\000\262B\336\367\377\177\000\000\002\000\000\000\000\000\000\000\224\300\336\367\377\177\000\000\a\000@\000\033"... cpathname = 0x7fffe4b6a370 "\200\374\276\344\377\177" co = 0x7fffe4b49730 m = #129 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f35f80 "pyanaconda.bootloader", fp=, pathname=pathname@entry= 0x7ffff7f34eb0 "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #130 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f35f8b "bootloader", fullname=fullname@entry= 0x7ffff7f35f80 "pyanaconda.bootloader") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib64/python2.7/site-packages/pyanaconda/bootloader.py\000le.so\000\000\000\000\000\000\000H\242[\344\377\177\000\000H\242[\344\377\177\000\000P\373r\344\377\177\000\000\320O\363\367\377\177\000\000\r\230I\357\377\177\000\000\320O\363\367\377\177\000\000\324\304\031\357\377\177\000\000\320O\363\367\377\177\000\000\275LP\357\377\177\000\000\006\000\000\000\000\000\000\000\320JP\357\377\177\000\000\006\000\000\000\000\000\000\000\320O\363\367\377\177\000\000\326O\363\367\377\177\000\000\240\236y\357\377\177\000\000\224b\201\344\377\177\000\000H\242[\344\377\177\000\000\377\377\377\377\000\000\000\000H\242[\344\377\177\000\000\320O\363\367\377\177\000\000P\373r\344\377\177\000\000\224b\201\344\377\177\000\000\061QP\357\377\177", '\000' , "\006\000\000\000\000\000\000\000l"... fp = 0x7fffe4b23360 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #131 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f35f68, buf=buf@entry=0x7ffff7f35f80 "pyanaconda.bootloader", p_buflen=p_buflen@entry=0x7ffff7f35f78) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe44ac69f "bootloader" dot = len = 10 p = 0x7ffff7f35f8b "bootloader" result = #132 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe44ac694 "pyanaconda.bootloader", globals=globals@entry= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated), fromlist=fromlist@entry=('get_bootloader',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "pyanaconda.bootloader\000\000\000\240_\363\367\377\177\000\000\002\000\000\000\000\000\000\000 \324x\357\377\177\000\000\000\000\000\000\000\000\000\000\200HG\357\377\177\000\000 U\025\344\377\177\000\000\n\000\000\000\000\000\000\000\b`\363\367\377\177\000\000\351\021R\357\377\177\000\000 \324x\357\377\177\000\000\313FJ\357\377\177\000\000`f\363\367\377\177\000\000Pf\363\367\377\177\000\000\202\023U\357\377\177\000\000\370g\363\367\377\177\000\000j\023U\357\377\177\000\000\063[\241\362\377\177\000\000\030\235-\344\377\177\000\000\300V\000\344\377\177\000\000\060\247\256\344\377\177\000\000\350\066\000\000\000\000\000\000\060\247\256\344\377\177\000\000\274\207'U\fg\f=\000\000\000\000\000\000\000\000\221'I\357\377\177\000\000P0\221\344\377\177\000\000\300V\000\344\377\177\000\000\060\247\256\344\377\177\000\000\300V\000\344\377\177\000\000\060\247\256\344\377\177\000\000\350\066\000\000\000\000\000\000|\360|\357\377\177\000\000P\371\256\344\377\177\000\000\000\000\000\000\000\000\000\000"... buflen = 21 head = next = tail = #133 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe44ac694 "pyanaconda.bootloader", globals= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated), locals=, fromlist=('get_bootloader',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #134 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe44ac694 "pyanaconda.bootloader" globals = {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated) locals = {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated) fromlist = ('get_bootloader',) level = -1 #135 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('pyanaconda.bootloader', {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.',...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #136 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('pyanaconda.bootloader', {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.',...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #137 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4b231c0, for file /usr/lib64/python2.7/site-packages/pyanaconda/__init__.py, line 36, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4b23340 next_instr = 0x7fffe48fa3ad "m\t" opcode = oparg = why = 1 err = 0 x = v = w = ('pyanaconda.bootloader', {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.',...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4b23338 freevars = 0x7fffe4b23338 retval = 0x0 tstate = co = 0x7fffe4af15b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe48fa354 "d" names = ('os', 'time', 'string', 'sys', 'constants', 'ROOT_PATH', 'tempfile', 'mkstemp', 'pyanaconda.bootloader', 'get_bootloader', 'pyanaconda', 'addons', 'logging', 'getLogger', 'log', 'stdoutLog', 'gettext', '_', 'object', 'Anaconda') consts = (-1, None, ('ROOT_PATH',), ('mkstemp',), ('get_bootloader',), ('constants',), ('addons',), 'anaconda', 'anaconda.stdout', , 'Anaconda', ) #138 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4af15b0, globals=globals@entry= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated), locals=locals@entry= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #139 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4af15b0, globals=globals@entry= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated), locals=locals@entry= {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #140 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f3a647 "pyanaconda", co=co@entry=, pathname=pathname@entry= 0x7ffff7f37490 "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated) v = '/usr/lib64/python2.7/site-packages/pyanaconda/__init__.pyc' #141 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f3a647 "pyanaconda", pathname= 0x7ffff7f37490 "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.pyc", pathname@entry= 0x7ffff7f38500 "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 16125, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 7995, st_blksize = 4096, st_blocks = 16, st_atim = {tv_sec = 1365122796, tv_nsec = 0}, st_mtim = {tv_sec = 1365122796, tv_nsec = 0}, st_ctim = {tv_sec = 1365766440, tv_nsec = 772262426}, __unused = {0, 0, 0}} fpc = 0x7fffe4b20a50 buf = "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.pyc\000\000\000\000\000\000 \000\000\344\377\177\000\000\220\000\000\000\000\000\000\000\200\034\223\344\377\177\000\000\300V\000\344\377\177\000\000\200-\256\344\377\177\000\000\256\066\000\000\000\000\000\000\200-\256\344\377\177\000\000\206\335+b(:\203\364\000\000\000\000\000\000\000\000\221'I\357\377\177\000\000P\000\000\000\000\000\000\000\300V\000\344\377\177\000\000\200-\256\344\377\177\000\000\300V\000\344\377\177\000\000\200-\256\344\377\177\000\000\000\203\363\367\377\177\000\000\002", '\000' , "Į\344\377\177\000\000\002", '\000' "\365, IJ\357\377\177\000\000`\367y\357\377\177\000\000\000\377\vy\322\352;\256\034\000\000\000\000\000\000\000\000\203\363\367\377\177\000\000"... cpathname = 0x7fffe4b20a50 "\001" co = 0x7fffe4af15b0 m = #142 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f3a647 "pyanaconda", fp=, pathname=pathname@entry= 0x7ffff7f38500 "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.py", type=, loader=loader@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #143 0x00007fffef504526 in load_package (name=name@entry= 0x7ffff7f3a647 "pyanaconda", pathname=pathname@entry= 0x7ffff7f39570 "/usr/lib64/python2.7/site-packages/pyanaconda") at /usr/src/debug/Python-2.7.3/Python/import.c:1074 m = d = {'iutil': , 'product': , 'string': , '_isys': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Pr...(truncated) file = '/usr/lib64/python2.7/site-packages/pyanaconda' path = ['/usr/lib64/python2.7/site-packages/pyanaconda'] err = buf = "/usr/lib64/python2.7/site-packages/pyanaconda/__init__.py\000le.so\000logging.Ѕ\363\367\377\177\000\000\240M\210\344\377\177\000\000 \000\000\000\060\000\000\000\240\206\363\367\377\177\000\000Ѕ\363\367\377\177\000\000\000\000 \000\000\000\000\000\220? \357\377\177\000\000\340\335x\357\377\177\000\000\240M\210\344\377\177\000\000\340\335x\357\377\177\000\000\320#_\344\377\177\000\000\000\231y\357\377\177\000\000=\306O\357\377\177\000\000\004\000\000\000\004\000\000\000 \000\000\000\060\000\000\000\240\206\363\367\377\177\000\000Ѕ\363\367\377\177\000\000$\000\000\000\000\000\000\000P\215\363\367\377\177\000\000`NU\357\377\177\000\000\264? \357\377\177\000\000F\266\356?\227()\"|\244\211\344\377\177\000\000\253\216\330\362\377\177\000\000\256\071I\357\377\177\000\000\020\240$\356"... fp = 0x7fffe4b20200 fdp = #144 0x00007fffef504231 in load_module (name=name@entry= 0x7ffff7f3a647 "pyanaconda", fp=, pathname=pathname@entry= 0x7ffff7f39570 "/usr/lib64/python2.7/site-packages/pyanaconda", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1836 modules = m = err = #145 0x00007fffef504856 in import_submodule (mod=mod@entry=None, subname=subname@entry=0x7ffff7f3a647 "pyanaconda", fullname=fullname@entry= 0x7ffff7f3a647 "pyanaconda") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib64/python2.7/site-packages/pyanaconda\000__init__.py\000o\000\377\177\000\000\001\000\000\000\000\000\000\000n\aP\357\377\177\000\000\000\001\000\000\000\000\000\000\030\226\363\367\377\177\000\000\260\226\363\367\377\177\000\000\340\225\363\367\377\177\000\000\377\377\377\377\000\000\000\000\340\226\363\367\377\177\000\000\350\226\363\367\377\177\000\000\000\000\000\000\000\000\000\000HL\210\344\377\177\000\000\060L\210\344\377\177\000\000@L\210\344\377\177\000\000c\235P\357\377\177\000\000C\000\363\367\377\177\000\000\000\000\000\000)\000\000\000=\000\000\000\000\000\000\000\340\226\363\367\377\177\000\000\350\226\363\367\377\177\000\000 \324x\357\377\177\000\000\000\000\000\000\000\000\000\000\320̍\344\377\177\000\000\000\000\000\000\000\000\000\000\217\225P\357\377\177\000\000C\000\363\367\377\177\000\000\320̍\344\377\177\000\000\240\227\363\367\377\177\000\000"... fp = 0x0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #146 0x00007fffef504b4a in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f3a628, buf=buf@entry=0x7ffff7f3a640 "blivet.pyanaconda", p_buflen=p_buflen@entry=0x7ffff7f3a638) at /usr/src/debug/Python-2.7.3/Python/import.c:2419 name = 0x7fffe4aea0c4 "pyanaconda.progress" dot = len = 10 p = 0x7ffff7f3a647 "pyanaconda" result = #147 0x00007fffef50503f in import_module_level (name=0x7fffe4aea0cf "progress", name@entry=0x7fffe4aea0c4 "pyanaconda.progress", globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated), fromlist=fromlist@entry=('progress_report',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "blivet.pyanaconda\000y\357\377\177\000\000\070\327\212\344\377\177\000\000\377\377\377\377\004\000\000\000\000>y\357\377\177\000\000\060\327r\344\377\177\000\000\000݆\344\377\177\000\000\000\000\000\000\377\377\377\377(\327\212\344\377\177\000\000\000\000\000\000\000\000\000\000\351\021R\357\377\177\000\000\000>y\357\377\177\000\000\036\023R\357\377\177\000\000\020C\213\344\377\177\000\000_:I\357\377\177\000\000\060\201\210\344\377\177\000\000(\327\212\344\377\177\000\000\220Պ\344\377\177\000\000\230\027|\357\377\177\000\000(\327\212\344\377\177\000\000\207$H\357\377\177\000\000\310@\213\344\377\177\000\000x\345\211\344\377\177\000\000\310@\213\344\377\177\000\000P?\213\344\377\177\000\000\016n\215\344\377\177\000\000\016/O\357\377\177\000\000\000\000\000\000\377\177", '\000' , "P0\035\357\377\177\000\000\240\000z\357\377\177\000\000\000\000\000\000\000\000\000\000\220Պ\344\377\177", '\000' , "\034\000\000\000\067\000\000\000"... buflen = 17 head = next = tail = #148 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4aea0c4 "pyanaconda.progress", globals= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated), locals=, fromlist=('progress_report',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #149 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4aea0c4 "pyanaconda.progress" globals = {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated) locals = {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated) fromlist = ('progress_report',) level = -1 #150 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('pyanaconda.progress', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': ) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #151 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('pyanaconda.progress', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': #152 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4b20050, for file /usr/lib/python2.7/site-packages/blivet/deviceaction.py, line 47, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4b201d0 next_instr = 0x7fffe4b15a55 "m\025" opcode = oparg = why = 1 err = 0 x = v = w = ('pyanaconda.progress', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': stream = 0x0 fastlocals = 0x7fffe4b201c8 freevars = 0x7fffe4b201c8 retval = 0x0 tstate = co = 0x7fffe4ae97b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4b15984 "d" names = ('udev', 'math', 'devices', 'StorageDevice', 'PartitionDevice', 'LVMLogicalVolumeDevice', 'formats', 'getFormat', 'errors', 'parted', 'partitionFlag', 'PARTITION_LBA', 'gettext', '_', 'logging', 'getLogger', 'log', 'contextlib', 'contextmanager', 'progress_report_stub', 'pyanaconda.progress', 'progress_report', 'ImportError', 'ACTION_TYPE_NONE', 'ACTION_TYPE_DESTROY', 'ACTION_TYPE_RESIZE', 'ACTION_TYPE_CREATE', 'action_strings', 'ACTION_OBJECT_NONE', 'ACTION_OBJECT_FORMAT', 'ACTION_OBJECT_DEVICE', 'object_strings', 'RESIZE_SHRINK', 'RESIZE_GROW', 'resize_strings', 'action_type_from_string', 'action_object_from_string', 'resize_type_from_string', 'object', 'DeviceAction', 'ActionCreateDevice', 'ActionDestroyDevice', 'ActionResizeDevice', 'ActionCreateFormat', 'ActionDestroyFormat', 'ActionResizeFormat') consts = (-1, ('*',), None, ('StorageDevice',), ('PartitionDevice',), ('LVMLogicalVolumeDevice',), ('getFormat',), ('partitionFlag', 'PARTITION_LBA'), , 'blivet', ('contextmanager',), , ('progress_report',), 0, 1000, 500, 100, 'None', 'Destroy', 'Resize', 'Create', 1, 2, 'Format', 'Device', 88, 89, 'Shrink', 'Grow', , , , 'DeviceAction', , 'ActionCreateDevice', , 'ActionDestroyDevice', , 'ActionResizeDevice', , 'ActionCreateFormat', , 'ActionDestroyFormat', , 'ActionResizeFormat', ) #153 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4ae97b0, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated), locals=locals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #154 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4ae97b0, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated), locals=locals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #155 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f3dca0 "blivet.deviceaction", co=co@entry= , pathname=pathname@entry= 0x7ffff7f3bb50 "/usr/lib/python2.7/site-packages/blivet/deviceaction.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'partitionFlag': {1: 'boot', 2: 'root', 3: 'swap', 4: 'hidden', 5: 'raid', 6: 'lvm', 7: 'lba', 8: 'hp-service', 9: 'palo', 10: 'prep', 11: 'msftres', 12: 'bios_grub', 13: 'atvrecv', 14: 'diag', 15: 'legacy_boot'}, 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'udev_get_device': , 'DeviceResizeError': , ...(truncated) v = '/usr/lib/python2.7/site-packages/blivet/deviceaction.pyc' #156 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f3dca0 "blivet.deviceaction", pathname= 0x7ffff7f3bb50 "/usr/lib/python2.7/site-packages/blivet/deviceaction.pyc", pathname@entry= 0x7ffff7f3cbd0 "/usr/lib/python2.7/site-packages/blivet/deviceaction.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 148917, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 21404, st_blksize = 4096, st_blocks = 48, st_atim = {tv_sec = 1363896549, tv_nsec = 0}, st_mtim = {tv_sec = 1363896549, tv_nsec = 0}, st_ctim = {tv_sec = 1365766439, tv_nsec = 856264217}, __unused = {0, 0, 0}} fpc = 0x7fffe4b15720 buf = "/usr/lib/python2.7/site-packages/blivet/deviceaction.pyc\000ormats/__init__.py\000le.so\000so\000y\000le.so\000\000\000\000\\\336\363\367\377\177\000\000\065\036P\357\377\177\000\000\064Q\033\344\377\177\000\000\061QP\357\377\177\000\000p!O\344\377\177\000\000P\275\363\367\377\177\000\000\001\000\000\000\000\000\000\000\060\336\363\367\377\177\000\000\001\020\000\000\000\000\000\000(\336\363\367\377\177\000\000\005\000\000\000\000\000\000\000\220\313 \357\377\177\000\000 \374\005\344\377\177\000\000 \336\363\367\377\177\000\000\000\357\363\367\377\177\000\000\000\000\000\000\000\000\000\000\022\000\000\000\000\000\000\000\027(U\357\377\177\000\000\000\000\000\000\000\000\000\000\220"... cpathname = 0x7fffe4b15720 "0" co = 0x7fffe4ae97b0 m = #157 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f3dca0 "blivet.deviceaction", fp=, pathname=pathname@entry= 0x7ffff7f3cbd0 "/usr/lib/python2.7/site-packages/blivet/deviceaction.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #158 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f3dca7 "deviceaction", fullname=fullname@entry= 0x7ffff7f3dca0 "blivet.deviceaction") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/blivet/deviceaction.py\000le.so\000ut\n 14 sound\n 21 sg\n 29 fb\n116 alsa\n128 ptm\n136 pts\n162 raw\n180 usb\n188 ttyUSB\n189 usb_device\n202 cpu/msr\n203 cpu/cpuid\n249 hidraw\n250 usb"... fp = 0x7fffe4b258f0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #159 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f3dc88, buf=buf@entry=0x7ffff7f3dca0 "blivet.deviceaction", p_buflen=p_buflen@entry=0x7ffff7f3dc98) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe44ef524 "deviceaction" dot = len = 12 p = 0x7ffff7f3dca7 "deviceaction" result = #160 0x00007fffef50503f in import_module_level (name=0x0, name@entry= 0x7fffe44ef524 "deviceaction", globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "blivet.deviceaction\000ypto\350\206\035\357\377\177", '\000' , "K\000\000\000\001\000\000\000]\000\000\000n\000\000\000w\000\000\000|\000\000\000\000\335\363\367\377\177\000\000\000\000\000\000\000\000\000\000\220\313 \357\377\177\000\000 \374\005\344\377\177\000\000vGJ\357\377\177\000\000\020\f\000\000\000\000\000\000\220\374f\344\377\177\000\000\340\325j\344\377\177\000\000\000\004\000\000\000\000\000\000 \000\000\344\377\177\000\000\260\004\000\000\000\000\000\000\220\000g\344\377\177\000\000\300V\000\344\377\177\000\000\370\035\254\344\377\177\000\000M6\000\000\000\000\000\000\370\035\254\344\377\177\000\000o\031\327|\v\214\"\021\000\000\000\000\000\000\000\000\221'I\357\377\177\000\000\000\004\000\000\000\000\000\000\300V\000\344\377\177\000\000\370\035\254\344\377\177\000\000\300V\000\344\377\177\000\000\370\035\254\344\377\177\000\000\300\357\363\367\377\177\000\000\065", '\000' "\360"... buflen = 19 head = next = tail = #161 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe44ef524 "deviceaction", globals= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , fromlist=('*',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #162 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe44ef524 "deviceaction" globals = {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , arg=arg@entry= ('deviceaction', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': ) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #164 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('deviceaction', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': #165 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4b06680, for file /usr/lib/python2.7/site-packages/blivet/devicetree.py, line 33, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4b06800 next_instr = 0x7fffe4b140f5 "Td" opcode = oparg = why = 1 err = 0 x = v = w = ('deviceaction', {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': stream = 0x0 fastlocals = 0x7fffe4b067f8 freevars = 0x7fffe4b067f8 retval = 0x0 tstate = co = 0x7fffe4ae37b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4b14084 "d" names = ('os', 'stat', 'block', 're', 'shutil', 'pprint', 'copy', 'errors', 'devices', 'deviceaction', 'pykickstart.constants', 'formats', 'devicelibs.mdraid', 'devicelibs', 'devicelibs.dm', 'devicelibs.lvm', 'devicelibs.mpath', 'devicelibs.loop', 'devicelibs.edd', 'udev', 'util', 'platform', 'tsort', 'flags', 'storage_log', 'log_method_call', 'log_method_return', 'parted', '_ped', 'gettext', '_', 'logging', 'getLogger', 'log', 'object', 'DeviceTree') consts = (-1, None, ('*',), ('platform',), ('flags',), ('log_method_call', 'log_method_return'), , 'blivet', 'DeviceTree', ) #166 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe4ae37b0, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #167 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe4ae37b0, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': , pathname=pathname@entry= 0x7ffff7f3f1b0 "/usr/lib/python2.7/site-packages/blivet/devicetree.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'udev_device_get_symlinks': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'udev_device_dm_subsystem_match': , 'udev_device_get_md_level': , 'udev_device_is_dm': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'udev_get_devices': , 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'copy': , 'udev_get_device': , 'tempfile': , 'DeviceResizeError': , 'pprint': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 148931, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 90849, st_blksize = 4096, st_blocks = 184, st_atim = {tv_sec = 1363896549, tv_nsec = 0}, st_mtim = {tv_sec = 1363896549, tv_nsec = 0}, st_ctim = {tv_sec = 1365766439, tv_nsec = 873264184}, __unused = {0, 0, 0}} fpc = 0x7fffe4b06660 buf = "/usr/lib/python2.7/site-packages/blivet/devicetree.pyc\000\000yc\000t/__init__.py\000le.so\000+\300\000H\005\004\006&\000\022\000\000\000\026\000\000\000\030\000\000\000\034\000\000\000\037\000\000\000\000\000\000\000 \000\000\000\"\000\000\000#\000\000\000&\000\000\000(\000\000\000*\000\000\000+\000\000\000-\000\000\000\000\000\000\000.\000\000\000 \372\363\367\377\177\000\000\200\372\363\367\377\177", '\000' "\220, I\376\367\377\177\000\000\366\031\372\362\377\177\000\000\342\214\336\367\377\177\000\000\000\000\000\000\000\000\000\000ƭ\336\367\377\177\000\000\330\026\365\367\377\177\000\000\020\372\363\367\377\177\000\000\000\372\363\367\377\177\000\000\360"... cpathname = 0x7fffe4b06660 "\250on\344\377\177" co = 0x7fffe4ae37b0 m = #170 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f41300 "blivet.devicetree", fp=, pathname=pathname@entry= 0x7ffff7f40230 "/usr/lib/python2.7/site-packages/blivet/devicetree.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #171 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f41307 "devicetree", fullname=fullname@entry= 0x7ffff7f41300 "blivet.devicetree") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/blivet/devicetree.py\000le.so\000\000so\000\260\002\364\367\377\177\000\000Њ\033\344\377\177\000\000\310\027\004\344\377\177\000\000\061QP\357\377\177\000\000@\222x\357\377\177\000\000\000\000\000\000\000\000\000\000xml.dom.\020\000\000\000\000\000\000\000pywbem.tupletree\000\036\023\344\377\177\000\000p^\031\357\377\177\000\000 \252\033\344\377\177\000\000\360\251\033\344\377\177\000\000\b\232|\357\377\177\000\000\031\246I\357\377\177\000\000P`\033\344\377\177\000\000\320\003\364\367\377\177\000\000\000\000\000\000\000\000\000\000\313\363S\357\377\177\000\000\230\071\036\344\377\177\000\000?\247I\357\377\177\000\000p\365\032\344\377\177\000\000\320\003\364\367\377\177\000\000\240"... fp = 0x7fffe45ee600 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #172 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f412e8, buf=buf@entry=0x7ffff7f41300 "blivet.devicetree", p_buflen=p_buflen@entry=0x7ffff7f412f8) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4497684 "devicetree" dot = len = 10 p = 0x7ffff7f41307 "devicetree" result = #173 0x00007fffef50503f in import_module_level (name=0x0, name@entry= 0x7fffe4497684 "devicetree", globals=globals@entry= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated), fromlist=fromlist@entry=('DeviceTree',), level=level@entry=-1, locals=) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "blivet.devicetree\000\000ts\000\000\000\350\206\035\357\377\177\000\000\060@7\344\377\177\000\000\177\061H\357\377\177\000\000\000\000\000\000\000\000\000\000p\341\000\344\377\177\000\000\230\t+\344\377\177\000\000\"\"H\357\377\177\000\000\020\316;\344\377\177\000\000\060@7\344\377\177\000\000\020\316;\344\377\177\000\000\240\236y\357\377\177\000\000\000\000\000\000\000\000\000\000\306\067O\357\377\177\000\000\b\232|\357\377\177\000\000\246\321J\357\377\177\000\000\300\024\364\367\377\177\000\000\220\201\070\344\377\177\000\000\020\247\065\344\377\177\000\000\000\000\000\000\000\000\000\000\001\000\000\000\377\177\000\000p\341\000\344\377\177\000\000H\350,\344\377\177\000\000\001\000\000\000\377\177", '\000' , "\001", '\000' "\340, F8\344\377\177\000\000 &\364\367\377\177\000\000\063", '\000' "\360, |\357\377\177\000\000\063", '\000' "\365"... buflen = 17 head = next = tail = #174 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4497684 "devicetree", globals= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated), locals=, fromlist=('DeviceTree',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #175 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4497684 "devicetree" globals = {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated) locals = {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated) fromlist = ('DeviceTree',) level = -1 #176 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('devicetree', {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': ) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #177 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('devicetree', {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': #178 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe41cd9b0, for file /usr/lib/python2.7/site-packages/blivet/__init__.py, line 55, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe41cdb30 next_instr = 0x7fffe44bf819 "m\031" opcode = oparg = why = 1 err = 0 x = v = w = ('devicetree', {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': stream = 0x0 fastlocals = 0x7fffe41cdb28 freevars = 0x7fffe41cdb28 retval = 0x0 tstate = co = 0x7fffe44f1630 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe44bf734 "d" names = ('__version__', 'None', 'isys', 'ROOT_PATH', 'shortProductName', 'productName', 'Exception', 'bootLoaderError', 'os', 'time', 'stat', 'errno', 'sys', 'statvfs', 'copy', 'nss.nss', 'nss', 'ImportError', 'parted', 'pykickstart.constants', 'storage_log', 'log_method_call', 'errors', 'devices', 'devicetree', 'DeviceTree', 'deviceaction', 'formats', 'getFormat', 'get_device_format_class', 'get_default_filesystem_type', 'formats.fs', 'nodev_filesystems', 'devicefactory', 'devicelibs.dm', 'name_from_dm_node', 'devicelibs.crypto', 'generateBackupPassphrase', 'devicelibs.mpath', 'MultipathConfigWriter', 'devicelibs.edd', 'get_edd_dict', 'udev', 'udev_trigger', 'iscsi', 'fcoe', 'zfcp', 'dasd', 'util', 'arch', 'flags', 'platform', '_platform', 'size', 'Size', 'shelve', 'contextlib', 'gettext', '_', 'logging', 'getLogger', 'log', 'enable_installer_mode', 'storageInitialize', 'False', 'turnOnFilesystems', 'writeEscrowPackets', 'empty_device', 'object', 'StorageDiscoveryConfig', 'Blivet', 'mountExistingSystem', 'BlkidTab',...(truncated) consts = ('0.8', '/', '', -1, None, ('*',), ('log_method_call',), ('DeviceTree',), ('getFormat',), ('get_device_format_class',), ('get_default_filesystem_type',), ('nodev_filesystems',), ('name_from_dm_node',), ('generateBackupPassphrase',), ('MultipathConfigWriter',), ('get_edd_dict',), ('udev_trigger',), ('flags',), ('platform',), ('Size',), , 'blivet', , , , , , 'StorageDiscoveryConfig', , 'Blivet', , , 'BlkidTab', , 'CryptTab', , , 'FSSet', , , , 'Root', , ) #179 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe44f1630, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated), locals=locals@entry= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated), args=args@entry=0x0, argcount=argcount@entry=0, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=closure@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #180 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe44f1630, globals=globals@entry= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated), locals=locals@entry= {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated)) at /usr/src/debug/Python-2.7.3/Python/ceval.c:689 No locals. #181 0x00007fffef50354d in PyImport_ExecCodeModuleEx (name=name@entry= 0x7ffff7f459d0 "blivet", co=co@entry=, pathname=pathname@entry= 0x7ffff7f42810 "/usr/lib/python2.7/site-packages/blivet/__init__.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated) v = '/usr/lib/python2.7/site-packages/blivet/__init__.pyc' #182 0x00007fffef5037f3 in load_source_module (name=name@entry= 0x7ffff7f459d0 "blivet", pathname= 0x7ffff7f42810 "/usr/lib/python2.7/site-packages/blivet/__init__.pyc", pathname@entry= 0x7ffff7f43880 "/usr/lib/python2.7/site-packages/blivet/__init__.py", fp=) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 148914, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 109479, st_blksize = 4096, st_blocks = 216, st_atim = {tv_sec = 1363896549, tv_nsec = 0}, st_mtim = {tv_sec = 1363896549, tv_nsec = 0}, st_ctim = {tv_sec = 1365766439, tv_nsec = 853264223}, __unused = {0, 0, 0}} fpc = 0x7fffe41cd990 buf = "/usr/lib/python2.7/site-packages/blivet/__init__.pyc\000\177\000\000\000\000\000\000\377\177\000\000\340)\364\367\377\177\000\000\070)\364\367\377\177\000\000`)\364\367\377\177\000\000\000\000\000\000\377\177\000\000\377\377\377\377\b", '\000' "\265, \065\344\377\177\000\000\370\263\070\344\377\177\000\000\000\000\000\000\377\377\377\377\030\222<\344\377\177\000\000\000\000\000\000\000\000\000\000\300V\000\344\377\177\000\000\340\\H\344\377\177\000\000\003\000\000\000\000\000\000\000\002\000\000\000\000\000\000\000\003\000\000\000\000\000\000\000 \030z\357\377\177\000\000\002\000\000\000\000\000\000\000\003\000\000\000\000\000\000\000-\002P\357\377\177\000\000\000\002\000\000\000\000\000\000@)\364\367\377\177\000\000`\220<\344\377\177\000\000"... cpathname = 0x7fffe41cd990 "P\366N\344\377\177" co = 0x7fffe44f1630 m = #183 0x00007fffef50427c in load_module (name=name@entry=0x7ffff7f459d0 "blivet", fp=, pathname=pathname@entry= 0x7ffff7f43880 "/usr/lib/python2.7/site-packages/blivet/__init__.py", type=, loader=loader@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #184 0x00007fffef504526 in load_package (name=name@entry= 0x7ffff7f459d0 "blivet", pathname=pathname@entry= 0x7ffff7f448f0 "/usr/lib/python2.7/site-packages/blivet") at /usr/src/debug/Python-2.7.3/Python/import.c:1074 m = d = {'udev_device_get_symlinks': , 'udev_get_block_devices': , 'udev_device_get_sysfs_path': , 'mdraid': , 'udev_device_get_lv_names': , 'BTRFSError': , 'KS_MISSING_IGNORE': 1, '__path__': ['/usr/lib/python2.7/site-packages/blivet'], 'partspec': , 'KS_REBOOT': 1, 'LUKSDevice': , 'udev_resolve_devspec': , 'udev_device_get_minor': , 'DISPLAY_MODE_TEXT': 2, 'BOOTPROTO_IBFT': 'ibft', 'udev_device_is_fcoe': , 'udev_device_get_pv_pe_start': , 'FileDevice': , 'udev_device_get_fcoe_nic': , 'udev_get_device': , 'tempfile':...(truncated) file = '/usr/lib/python2.7/site-packages/blivet' path = ['/usr/lib/python2.7/site-packages/blivet'] err = buf = "/usr/lib/python2.7/site-packages/blivet/__init__.py\000le.so\000e, data.value)\n return data\n\n\n\n def cmpi2pywbem_data(self, cdata, _type=None, is_array=None):\n #TODO check for valid cdata.st"... fp = 0x7fffe434bfb0 fdp = #185 0x00007fffef504231 in load_module (name=name@entry=0x7ffff7f459d0 "blivet", fp=, pathname=pathname@entry= 0x7ffff7f448f0 "/usr/lib/python2.7/site-packages/blivet", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1836 modules = m = err = #186 0x00007fffef504856 in import_submodule (mod=mod@entry=None, subname=subname@entry=0x7ffff7f459d0 "blivet", fullname=fullname@entry= 0x7ffff7f459d0 "blivet") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/blivet\000__init__.py\000vet.pyc\000e.so\000y\000le.so\000 iostr = cStringIO.StringIO()\n traceback.print_exception(_type, value, tb, None, iostr)\n s = iostr.getvalue()\n return"... fp = 0x0 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #187 0x00007fffef504b4a in load_next (mod=mod@entry= , altmod=None, p_name=p_name@entry= 0x7ffff7f459a8, buf=buf@entry=0x7ffff7f459c0 "openlmi.storage.blivet", p_buflen=p_buflen@entry=0x7ffff7f459b8) at /usr/src/debug/Python-2.7.3/Python/import.c:2419 name = 0x7fffe4485ad4 "blivet.formats" dot = len = 6 p = 0x7ffff7f459d0 "blivet" result = #188 0x00007fffef50503f in import_module_level (name=0x7fffe4485adb "formats", name@entry=0x7fffe4485ad4 "blivet.formats", globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2136 buf = "openlmi.storage.blivet\000rovider\000\000\024\000\000\000\065\000\000\000[\000\000\000n\000\000\000w\000\000\000|\000\000\000\020Z\364\367\377\177", '\000' , " \000\000\344\377\177\000\000,\001", '\000' "\360, |\357\377\177\000\000\a\001\000\000\000\000\000\000\000\001\000\000\000\000\000\000C\344\244\362\377\177\000\000\000\000\000\000\000\000\000\000,\001\000\000\000\000\000\000\a\001\000\000\000\000\000\000\001\274I\357\377\177\000\000P[\364\367\377\177\000\000\220Z\364\367\377\177", '\000' , "h[\364\367\377\177\000\000p\341\000\344\377\177\000\000\000\000\000\000\000\000\000\000\340l\364\367\377\177\000\000\b", '\000' "\260, H\344\377\177\000\000\b", '\000' "\365"... buflen = 22 head = next = tail = #189 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe4485ad4 "blivet.formats", globals= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , fromlist=None, level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #190 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe4485ad4 "blivet.formats" globals = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , arg=arg@entry= ('blivet.formats', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #192 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('blivet.formats', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': #193 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe439b8b0, for file /usr/lib/python2.7/site-packages/openlmi/storage/ExtentProvider.py, line 23, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe439ba30 next_instr = 0x7fffe435ed8f "Z\005" opcode = oparg = why = 1 err = 0 x = v = w = ('blivet.formats', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': stream = 0x0 fastlocals = 0x7fffe439ba28 freevars = 0x7fffe439ba28 retval = 0x0 tstate = co = 0x7fffe448a530 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe435ed64 "d" names = ('__doc__', 'openlmi.storage.DeviceProvider', 'DeviceProvider', 'pywbem', 'blivet.formats', 'blivet', 'openlmi.storage.util.storage', 'storage', 'util', 'openlmi.common.cmpi_logging', 'common', 'cmpi_logging', 'ExtentProvider') consts = (' Module for ExtentProvider class. ', -1, ('DeviceProvider',), None, 'ExtentProvider', ) #194 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe448a530, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #195 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe448a530, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , pathname=pathname@entry= 0x7ffff7f46ed0 "/usr/lib/python2.7/site-packages/openlmi/storage/ExtentProvider.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 143548, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 13743, st_blksize = 4096, st_blocks = 32, st_atim = {tv_sec = 1365767637, tv_nsec = 687905645}, st_mtim = {tv_sec = 1365603879, tv_nsec = 0}, st_ctim = {tv_sec = 1365767613, tv_nsec = 661952928}, __unused = {0, 0, 0}} fpc = 0x7fffe434bfb0 buf = "/usr/lib/python2.7/site-packages/openlmi/storage/ExtentProvider.pyc\000tems(self.the_id):\n", ' ' , "if value == \"\":\n", ' ' , "value = None\n", ' ' , "self.properties[key] = value\n\n @cmpi_logging.trace_"... cpathname = 0x7fffe434bfb0 "\210$\255\373\377\177" co = 0x7fffe448a530 m = #198 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f49020 "openlmi.storage.ExtentProvider", fp=, pathname=pathname@entry= 0x7ffff7f47f50 "/usr/lib/python2.7/site-packages/openlmi/storage/ExtentProvider.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #199 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f49030 "ExtentProvider", fullname=fullname@entry= 0x7ffff7f49020 "openlmi.storage.ExtentProvider") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/openlmi/storage/ExtentProvider.py\000le.so\000setting):\n \"\"\" Set given setting. \"\"\"\n if self.classes.has_key(classname):\n", ' ' , "stg = self.classes[classname"... fp = 0x7fffe434a980 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #200 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f49008, buf=buf@entry=0x7ffff7f49020 "openlmi.storage.ExtentProvider", p_buflen=p_buflen@entry=0x7ffff7f49018) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe448376c "ExtentProvider" dot = len = 14 p = 0x7ffff7f49030 "ExtentProvider" result = #201 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe448375c "openlmi.storage.ExtentProvider", globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "openlmi.storage.ExtentProvider", '\000' "\377, \vy\322\352;\256\000\000\000\000\000\000\000\000`\367y\357\377\177\000\000\320\fG\344\377\177\000\000`\367y\357\377\177\000\000\000\000\000\000\000\000\000\000\300H>\344\377\177\000\000\000\000\000\000\000\000\000\000Y\fP\357\377\177\000\000\000\000\000\000\000\000\000\000(\000\000\000\060\000\000\000\260\221\364\367\377\177\000\000\360\220\364\367\377\177", '\000' , "ȑ\364\367\377\177\000\000p\341\000\344\377\177", '\000' "\200, \322B\344\377\177\000\000\005\000\000\000\000\000\000\000H\000\000\000\000\000\000\000\005\000\000\000\000\000\000\000\300H>\344\377\177\000\000\000\000\000\000\000\000\000\000\351\021R\357\377\177\000\000\200\322B\344\377\177\000\000\222\006K\357\377\177\000\000\000\000\000\000\000\000\000\000"... buflen = 30 head = next = tail = #202 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe448375c "openlmi.storage.ExtentProvider", globals= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , fromlist=('ExtentProvider',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #203 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe448375c "openlmi.storage.ExtentProvider" globals = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , arg=arg@entry= ('openlmi.storage.ExtentProvider', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'Byt...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #205 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('openlmi.storage.ExtentProvider', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'Byt...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #206 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe447a8e0, for file /usr/lib/python2.7/site-packages/openlmi/storage/LMI_StorageExtent.py, line 21, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe447aa60 next_instr = 0x7fffe446ceeb "m\002" opcode = oparg = why = 1 err = 0 x = v = w = ('openlmi.storage.ExtentProvider', {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'Byt...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe447aa58 freevars = 0x7fffe447aa58 retval = 0x0 tstate = co = 0x7fffe446f830 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe446cedc "d" names = ('__doc__', 'openlmi.storage.ExtentProvider', 'ExtentProvider', 'blivet', 'openlmi.common.cmpi_logging', 'common', 'cmpi_logging', 'LMI_StorageExtent') consts = (' Module for LMI_StorageExtent class.', -1, ('ExtentProvider',), None, 'LMI_StorageExtent', ) #207 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe446f830, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #208 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe446f830, globals=globals@entry= {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': , pathname=pathname@entry= 0x7ffff7f4a530 "/usr/lib/python2.7/site-packages/openlmi/storage/LMI_StorageExtent.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , 'BytesWarning': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 143597, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 2520, st_blksize = 4096, st_blocks = 8, st_atim = {tv_sec = 1365767637, tv_nsec = 687905645}, st_mtim = {tv_sec = 1363093508, tv_nsec = 0}, st_ctim = {tv_sec = 1365767613, tv_nsec = 682952886}, __unused = {0, 0, 0}} fpc = 0x7fffe434a980 buf = "/usr/lib/python2.7/site-packages/openlmi/storage/LMI_StorageExtent.pyc\000yc\000py\000le.so\000pi.py\000le.so\000o\000der2.py\000le.so\000\000\v\000\000\000\000\000\000\000\060\061\004\344\377\177\000\000\240\236y\357\377\177\000\000'\000\000\000\000\000\000\000Ц\364\367\377\177\000\000Ȧ\364\367\377\177\000\000\v\000\000\000\000\000\000\000\062MI\357\377\177\000\000P\000\030\357\377\177\000\000J9I\357\377\177\000\000\060\061\004\344\377\177\000\000\240\236y\357\377\177\000\000d\302\037\357\377\177\000\000\bbI\357\377\177\000\000P\321\021\344\377\177\000\000\030\027"... cpathname = 0x7fffe434a980 "\210$\255\373\377\177" co = 0x7fffe446f830 m = #211 0x00007fffef50427c in load_module (name=name@entry= 0x7ffff7f4c680 "openlmi.storage.LMI_StorageExtent", fp=, pathname=pathname@entry= 0x7ffff7f4b5b0 "/usr/lib/python2.7/site-packages/openlmi/storage/LMI_StorageExtent.py", type=, loader=) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #212 0x00007fffef504856 in import_submodule (mod=mod@entry= , subname=subname@entry= 0x7ffff7f4c690 "LMI_StorageExtent", fullname=fullname@entry= 0x7ffff7f4c680 "openlmi.storage.LMI_StorageExtent") at /usr/src/debug/Python-2.7.3/Python/import.c:2595 buf = "/usr/lib/python2.7/site-packages/openlmi/storage/LMI_StorageExtent.py\000le.so\000so\000\000TM\030\344\377\177\000\000?PP\357\377\177\000\000`\363 \357\377\177\000\000\000\000\000\000\000\000\000\000\022\000\000\000\000\000\000\000\004\000\000\000\000\000\000\000cmpi\000g\000cim_provider2\000\000\000\000:JJ\357\377\177\000\000\340\225y\357\377\177\000\000\300V\000\344\377\177\000\000\320\322\021\344\377\177\000\000\222'U\357\377\177\000\000\"", '\000' , "'U\357\377\177\000\000\"", '\000' "\365, IJ\357\377\177\000\000"... fp = 0x7fffe441f570 path = loader = 0x0 fdp = modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = 0x0 #213 0x00007fffef504ad0 in load_next (mod=mod@entry= , altmod=altmod@entry= , p_name=p_name@entry=0x7ffff7f4c668, buf=buf@entry=0x7ffff7f4c680 "openlmi.storage.LMI_StorageExtent", p_buflen=p_buflen@entry=0x7ffff7f4c678) at /usr/src/debug/Python-2.7.3/Python/import.c:2415 name = 0x7fffe4329604 "LMI_StorageExtent" dot = len = 17 p = 0x7ffff7f4c690 "LMI_StorageExtent" result = #214 0x00007fffef50507c in import_module_level (name=0x0, name@entry= 0x7fffe43295f4 "openlmi.storage.LMI_StorageExtent", globals=globals@entry= {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:2144 buf = "openlmi.storage.LMI_StorageExtent\000on\000\177\000\000\001\027\000\000\000\000\000\000 \327\021\344\377\177\000\000\300\227\025\344\377\177\000\000\000\325\030\344\377\177\000\000\034\000\000\000\000\000\000\000Po2\344\377\177\000\000\302\060\365\264\254/aj\300\227\025\344\377\177\000\000\221'I\357\377\177\000\000~\360\025\344\377\177\000\000\360\354\025\344\377\177\000\000\060\276\030\344\377\177\000\000\300\227\025\344\377\177\000\000Po2\344\377\177\000\000\034\000\000\000\000\000\000\000\201\360\025\344\377\177\000\000\350\354\025\344\377\177\000\000\300\227\025\344\377\177\000\000\062MI\357\377\177\000\000\300\227\025\344\377\177\000\000(BH\357\377\177\000\000Po2\344\377\177\000\000\264\355\025\344\377\177\000\000p\353\025\344\377\177\000\000\v\006O\357\377\177\000\000\000\000\000\000\377\177", '\000' , "P0\035\357\377\177\000\000\000\000\000\000\377\177\000\000\a\000\000\000\000\000\000\000\340"... buflen = 33 head = next = tail = #215 0x00007fffef5055ea in PyImport_ImportModuleLevel (name= 0x7fffe43295f4 "openlmi.storage.LMI_StorageExtent", globals= {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , fromlist=('LMI_StorageExtent',), level=-1) at /usr/src/debug/Python-2.7.3/Python/import.c:2188 result = #216 0x00007fffef4eb79f in builtin___import__ (self=, args=, kwds=) at /usr/src/debug/Python-2.7.3/Python/bltinmodule.c:49 kwlist = {0x7fffef53a4b1 "name", 0x7fffef53b7b7 "globals", 0x7fffef54ff16 "locals", 0x7fffef54f670 "fromlist", 0x7fffef555f16 "level", 0x0} name = 0x7fffe43295f4 "openlmi.storage.LMI_StorageExtent" globals = {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , arg=arg@entry= ('openlmi.storage.LMI_StorageExtent', {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe41013...(truncated), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4978f0 #218 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func=func@entry= , arg=arg@entry= ('openlmi.storage.LMI_StorageExtent', {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe41013...(truncated), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #219 0x00007fffef4ef1e1 in PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe4095a70, for file /usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py, line 31, in (), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2407 stack_pointer = 0x7fffe4095bf0 next_instr = 0x7fffe434ac23 "m\b" opcode = oparg = why = 1 err = 0 x = v = w = ('openlmi.storage.LMI_StorageExtent', {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe41013...(truncated) u = -1 t = stream = 0x0 fastlocals = 0x7fffe4095be8 freevars = 0x7fffe4095be8 retval = 0x0 tstate = co = 0x7fffe42e3330 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe434abe4 "d" names = ('__doc__', 'openlmi.storage.StorageConfiguration', 'StorageConfiguration', 'openlmi.storage.ProviderManager', 'ProviderManager', 'openlmi.storage.SettingManager', 'SettingManager', 'openlmi.storage.LMI_StorageExtent', 'LMI_StorageExtent', 'openlmi.storage.LMI_MDRAIDStorageExtent', 'LMI_MDRAIDStorageExtent', 'openlmi.storage.LMI_DiskPartition', 'LMI_DiskPartition', 'openlmi.storage.LMI_GenericDiskPartition', 'LMI_GenericDiskPartition', 'openlmi.storage.LMI_LVStorageExtent', 'LMI_LVStorageExtent', 'openlmi.storage.LMI_VGStoragePool', 'LMI_VGStoragePool', 'openlmi.storage.LMI_PartitionBasedOn', 'LMI_PartitionBasedOn', 'openlmi.storage.LMI_MDRAIDBasedOn', 'LMI_MDRAIDBasedOn', 'openlmi.storage.LMI_LVBasedOn', 'LMI_LVBasedOn', 'openlmi.storage.LMI_LVAllocatedFromStoragePool', 'LMI_LVAllocatedFromStoragePool', 'openlmi.storage.LMI_VGAssociatedComponentExtent', 'LMI_VGAssociatedComponentExtent', 'openlmi.storage.LMI_DiskPartitionConfigurationSetting', 'LMI_DiskPartitionConfigurationSetting', 'openlmi.storage.Setting...(truncated) consts = ('\n This module is the main entry from openlmi.storage.CIMOM.\n \n All initialization must be done here.\n \n This module instantiates all providers and registers them in CIMOM.\n', -1, ('StorageConfiguration',), ('ProviderManager',), ('SettingManager',), ('LMI_StorageExtent',), ('LMI_MDRAIDStorageExtent',), ('LMI_DiskPartition',), ('LMI_GenericDiskPartition',), ('LMI_LVStorageExtent',), ('LMI_VGStoragePool',), ('LMI_PartitionBasedOn',), ('LMI_MDRAIDBasedOn',), ('LMI_LVBasedOn',), ('LMI_LVAllocatedFromStoragePool',), ('LMI_VGAssociatedComponentExtent',), ('LMI_DiskPartitionConfigurationSetting',), ('ElementSettingDataProvider', 'SettingHelperProvider'), ('LMI_DiskPartitionConfigurationService',), ('LMI_HostedStorageService',), ('LMI_DiskPartitionConfigurationCapabilities',), ('ElementCapabilitiesProvider',), ('LMI_InstalledPartitionTable',), ('LMI_LVStorageCapabilities', 'LMI_LVElementCapabilities'), ('LMI_StorageConfigurationService',), ('LMI_VGStorageCapabilities',), ('LMI_MDRAIDStorageCapab...(truncated) #220 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=co@entry=0x7fffe42e3330, globals=globals@entry= {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #221 0x00007fffef4f3d92 in PyEval_EvalCode (co=co@entry=0x7fffe42e3330, globals=globals@entry= {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': , pathname=pathname@entry= 0x7ffff7f4db90 "/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.pyc") at /usr/src/debug/Python-2.7.3/Python/import.c:681 modules = {'selinux': , 'ctypes.os': None, 'gc': , 'dbus.xml': None, 'cmpi': , 'blivet.re': None, 'logging.weakref': None, 're': , 'pprint': , 'openlmi.common.traceback': None, 'blivet.shutil': None, 'blivet.nss': None, 'string': , 'encodings.utf_8': , 'block.UserDict': None, 'pyanaconda.os': None, 'blivet.tempfile': None, 'blivet.formats.__init__': , 'parted.decorators': , 'blivet.formats.dmraid': , 'pywbem.cim_http': , 'blivet.time': None, 'shlex': , 'dis': , 'parted': , 'pyanaconda.product': , 'pywbem.string': None, 'blivet.devic...(truncated) m = d = {'ProviderManager': , '__builtins__': {'bytearray': , 'IndexError': , 'all': , 'help': <_Helper at remote 0x7fffe4101250>, 'vars': , 'SyntaxError': , 'unicode': , 'UnicodeDecodeError': , 'memoryview': , 'isinstance': , 'copyright': <_Printer(_Printer__data='Copyright (c) 2001-2012 Python Software Foundation.\nAll Rights Reserved.\n\nCopyright (c) 2000 BeOpen.com.\nAll Rights Reserved.\n\nCopyright (c) 1995-2001 Corporation for National Research Initiatives.\nAll Rights Reserved.\n\nCopyright (c) 1991-1995 Stichting Mathematisch Centrum, Amsterdam.\nAll Rights Reserved.', _Printer__lines=None, _Printer__name='copyright', _Printer__dirs=(), _Printer__files=(...)) at remote 0x7fffe4101310>, 'NameError': ) at /usr/src/debug/Python-2.7.3/Python/import.c:1018 st = {st_dev = 64515, st_ino = 143540, st_nlink = 1, st_mode = 33188, st_uid = 0, st_gid = 0, __pad0 = 0, st_rdev = 0, st_size = 16083, st_blksize = 4096, st_blocks = 32, st_atim = {tv_sec = 1365767637, tv_nsec = 659905701}, st_mtim = {tv_sec = 1365603879, tv_nsec = 0}, st_ctim = {tv_sec = 1365767613, tv_nsec = 692952867}, __unused = {0, 0, 0}} fpc = 0x7fffe434a980 buf = "/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.pyc\000\067/site-\320Y\v\344\377\177\000\000/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py\000le.so\000\000\000TGP\357\377\177\000\000\340Q\031\357\377\177\000\000\016\377\024\344g\226X\214\360\303\031\357\377\177\000\000\000\377\vy\322\352;\256\360\303\031\357\377\177\000\000ZCJ\357\377\177\000\000\220\355\364\367\377\177\000\000\256\071I\357\377\177\000\000\200\335\004\344\377\177\000\000\220\355\364\367\377\177\000\000\300\334\364\367\377\177\000\000"... cpathname = 0x7fffe434a980 "\210$\255\373\377\177" co = 0x7fffe42e3330 m = #224 0x00007fffef50427c in load_module (name=, fp=, pathname=, type=, loader=loader@entry=0x0) at /usr/src/debug/Python-2.7.3/Python/import.c:1822 modules = m = err = #225 0x00007fffef504678 in imp_load_module (self=, args=) at /usr/src/debug/Python-2.7.3/Python/import.c:3060 name = 0x7fffe42e2fb4 "cimom_entry" fob = pathname = 0x7fffe42d3e24 "/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py" suffix = 0x7fffe42b3e8c ".py" mode = 0x7fffef17b194 "U" type = 1 fp = #226 0x00007fffef4f2370 in ext_do_call (nk=0, na=, flags=, pp_stack=0x7ffff7f4ede8, func= ) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4408 tstate = 0x7fffe400e170 kwdict = 0x0 nstar = callargs = stararg = (, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ('.py', 'U', 1)) result = 0x0 #227 PyEval_EvalFrameEx (f=, throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2779 flags = func = na = nk = 0 n = pfunc = 0x7fffe40b5990 sp = 0x7fffe40b5998 stack_pointer = next_instr = 0x7fffe408a674 "|" opcode = oparg = why = 1 err = 0 x = v = w = u = t = stream = 0x0 fastlocals = freevars = retval = 0x0 tstate = co = 0x7fffe42c9ab0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe408a594 "t" names = ('os', 'path', 'basename', 'provid', 'provider_module_name', 'dirname', 'sys', 'append', 'modules', 'provmod', 'log_debug', 'KeyError', 'imp', 'find_module', 'g_mod_lock', 'acquire', 'acquire_lock', 'load_module', 'getmtime', 'provmod_timestamp', 'release_lock', 'release', 'close', 'IOError', 'pywbem', 'CIMError', 'CIM_ERR_FAILED', '__file__', 'filename') consts = (None, -3, 'Provider %s already loaded, found in sys.modules', 'Loading provider %s from source', 0, 'Error loading provider %s: %s') #228 0x00007fffef4f2ef1 in fast_function (nk=, na=2, n=, pp_stack=0x7ffff7f4efe8, func= ) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4184 retval = 0x0 i = fastlocals = f = tstate = 0x7fffe400e170 stack = co = nd = 0 globals = argdefs = d = 0x0 #229 call_function (oparg=, pp_stack=0x7ffff7f4efe8) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4119 func = w = na = 2 nk = n = pfunc = 0x7fffe416b218 x = #230 PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe416b080, for file /usr/lib/python2.7/site-packages/pywbem/cim_provider2.py, line 1670, in __init__ (self=) at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590>, env=<...>, provid='/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', logger=, miname='/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py') at remote 0x7fffe43225d0>), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2740 sp = 0x7fffe416b228 stack_pointer = next_instr = 0x7fffe4227de5 "\001|" opcode = oparg = why = 1 err = 0 x = v = w = u = t = stream = 0x0 fastlocals = 0x7fffe416b1f8 freevars = 0x7fffe416b218 retval = 0x0 tstate = co = 0x7fffe42c99b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffe4227d74 "|\001" names = ('env', 'isinstance', 'types', 'ModuleType', 'provmod', '__name__', 'provid', '__file__', 'filename', 'get_logger', 'log_debug', '_load_provider_source', '_init_provider') consts = (None, 'Loading python provider at %s') #231 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=, globals=, locals=locals@entry=0x0, args=args@entry= 0x7fffe4309a18, argcount=3, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #232 0x00007fffef483926 in function_call (func= , arg= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590>, <...>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kw=0x0) at /usr/src/debug/Python-2.7.3/Objects/funcobject.c:526 result = argdefs = kwtuple = 0x0 d = 0x0 k = 0x0 nk = 0 nd = 0 #233 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590>, <...>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef483870 #234 0x00007fffef46e200 in instancemethod_call (func= , arg= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590>, <...>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kw=0x0) at /usr/src/debug/Python-2.7.3/Objects/classobject.c:2578 self = ) at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590> klass = result = #235 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef46e160 #236 0x00007fffef4b34c2 in slot_tp_init (self=, args= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kwds=0x0) at /usr/src/debug/Python-2.7.3/Objects/typeobject.c:5663 init_str = '__init__' meth = res = #237 0x00007fffef4b315c in type_call (type=, args= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kwds=0x0) at /usr/src/debug/Python-2.7.3/Objects/typeobject.c:737 obj = ) at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>) at remote 0x7fffe4322590> #238 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= () at remote 0x7fffe4127f10>, proxy=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4b30e0 #239 0x00007fffef4ef583 in do_call (nk=, na=2, pp_stack= 0x7ffff7f4f688, func=) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4316 callargs = kwdict = 0x0 result = 0x0 #240 call_function (oparg=, pp_stack=0x7ffff7f4f688) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4121 func = w = na = 2 nk = n = pfunc = 0x7fffe416be58 x = #241 PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe416bcb0, for file /usr/lib/python2.7/site-packages/cmpi_pywbem_bindings.py, line 473, in __init__ (self=) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>, miname='/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', broker=<...>, ctx=) at remote 0x7fffe4127f10>, env=, proxy=<...>) at remote 0x7fffe4322550>, proxy=<...>) at remote 0x7fffe430ee50>, provmod='/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py'), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2740 sp = 0x7fffe416be60 stack_pointer = next_instr = 0x7fffef20ee80 "|" opcode = oparg = why = 1 err = 0 x = v = w = u = t = stream = 0x0 fastlocals = 0x7fffe416be28 freevars = 0x7fffe416be58 retval = 0x0 tstate = co = 0x7fffe4185630 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffef20ee14 "|\001" names = ('miname', 'broker', 'ProviderEnvironment', 'endswith', 'ProviderProxy', 'proxy') consts = (None, 0, '/', '/usr/lib/python2.7/site-packages/pycim/', '.py') #242 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=, globals=, locals=locals@entry=0x0, args=args@entry= 0x7fffe4105d78, argcount=4, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #243 0x00007fffef483926 in function_call (func= , arg= () at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', <...>, ) at remote 0x7fffe4127f10>), kw=0x0) at /usr/src/debug/Python-2.7.3/Objects/funcobject.c:526 result = argdefs = kwtuple = 0x0 d = 0x0 k = 0x0 nk = 0 nd = 0 #244 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= () at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', <...>, ) at remote 0x7fffe4127f10>), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef483870 #245 0x00007fffef46e200 in instancemethod_call (func= , arg= () at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0>, '/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', <...>, ) at remote 0x7fffe4127f10>), kw=0x0) at /usr/src/debug/Python-2.7.3/Objects/classobject.c:2578 self = ) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0> klass = result = #246 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef46e160 #247 0x00007fffef4b34c2 in slot_tp_init (self=, args= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kwds=0x0) at /usr/src/debug/Python-2.7.3/Objects/typeobject.c:5663 init_str = '__init__' meth = res = #248 0x00007fffef4b315c in type_call (type=, args= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kwds=0x0) at /usr/src/debug/Python-2.7.3/Objects/typeobject.c:737 obj = ) at remote 0x7fffe4127e90>) at remote 0x7fffe430ebd0> #249 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kw=kw@entry=0x0) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef4b30e0 #250 0x00007fffef4ef583 in do_call (nk=, na=3, pp_stack= 0x7ffff7f4fd28, func=) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4316 callargs = kwdict = 0x0 result = 0x0 #251 call_function (oparg=, pp_stack=0x7ffff7f4fd28) at /usr/src/debug/Python-2.7.3/Python/ceval.c:4121 func = w = na = 3 nk = n = pfunc = 0x7fffe40b74a0 x = #252 PyEval_EvalFrameEx (f=f@entry= Frame 0x7fffe40b7300, for file /usr/lib/python2.7/site-packages/cmpi_pywbem_bindings.py, line 457, in get_cmpi_proxy_provider (miname='/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', broker=) at remote 0x7fffe4127e90>, ctx=) at remote 0x7fffe4127f10>), throwflag=throwflag@entry=0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:2740 sp = 0x7fffe40b74a8 stack_pointer = next_instr = 0x7fffef20edd4 "\203\001" opcode = oparg = why = 1 err = 0 x = v = w = u = t = stream = 0x0 fastlocals = 0x7fffe40b7478 freevars = 0x7fffe40b7498 retval = 0x0 tstate = co = 0x7fffe41855b0 instr_ub = -1 instr_lb = 0 instr_prev = -1 first_instr = 0x7fffef20ed74 "y>" names = ('g_proxies', 'proxy', 'env', 'broker', 'pywbem', 'CIMError', 'CIM_ERR_FAILED', 'KeyError', 'ExceptionClassWrapper', 'CMPIProxyProvider') consts = (None, 'New broker not the same as cached broker!') #253 0x00007fffef4f3cbf in PyEval_EvalCodeEx (co=, globals=, locals=locals@entry=0x0, args=args@entry= 0x7fffe4187108, argcount=3, kws=kws@entry=0x0, kwcount=kwcount@entry=0, defs=defs@entry=0x0, defcount=defcount@entry=0, closure=0x0) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3330 f = retval = 0x0 fastlocals = freevars = tstate = 0x7fffe400e170 x = u = #254 0x00007fffef483926 in function_call (func= , arg= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kw=0x0) at /usr/src/debug/Python-2.7.3/Objects/funcobject.c:526 result = argdefs = kwtuple = 0x0 d = 0x0 k = 0x0 nk = 0 nd = 0 #255 0x00007fffef45fc0e in PyObject_Call (func=func@entry= , arg=arg@entry= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kw=) at /usr/src/debug/Python-2.7.3/Objects/abstract.c:2529 result = call = 0x7fffef483870 #256 0x00007fffef4ed367 in PyEval_CallObjectWithKeywords (func= , arg= ('/usr/lib/python2.7/site-packages/openlmi/storage/cimom_entry.py', ) at remote 0x7fffe4127e90>, ) at remote 0x7fffe4127f10>), kw=) at /usr/src/debug/Python-2.7.3/Python/ceval.c:3967 result = #257 0x00007fffef7ffa4a in createInit () from /usr/lib64/cmpi/libpyCmpiProvider.so No symbol table info available. #258 0x00007fffef7ffd00 in _Generic_Create_InstanceMI () from /usr/lib64/cmpi/libpyCmpiProvider.so No symbol table info available. #259 0x00007fffefa56c07 in Pegasus::CMPIProvider::getInstMI (this=0x7fffe4002500) at CMPIProvider.cpp:698 eCtx = {<_CMPIContext> = {hdl = 0x7fffe4003dd0, ft = 0x7fffefc99920 }, next = 0x7ffff7f500c0, prev = 0x7ffff7f500a0, ctx = 0x7ffff7f50000} providerName = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x7fffe4002430} mi = 0x0 opc = {_vptr.OperationContext = 0x7ffff3fd5e70 , _rep = 0x7fffe4002330} rc = {rc = CMPI_RC_OK, msg = 0x0} mtx = {_mutex = @0x7fffe4002648} #260 0x00007fffefa3fa17 in Pegasus::CMPIProviderManager::handleEnumerateInstancesRequest (this=0x7fffe40018e0, message=) at CMPIProviderManager.cpp:697 providerTime = {_message = 0x7fffe4001d00, _startTimeMicroseconds = } ph = {_provider = 0x7fffe4002500} remoteInfo = {_rep = 0x7fffe4003be0 ""} objectPath = op_lock = {_provider = 0x7fffe4002500} thr = {prev = 0x0, broker = 0x7fffe40025a0, context = 0x7ffff7f503b0, CIMfirst = 0x0, CIMlast = 0x0, static globalThreadContextKey = { contextKey = 2}} remote = false pr = @0x7fffe4002500: { = { _vptr.CIMProvider = 0x7fffefc97650 }, _location = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x7ffff3fdfac0 }, _status = Pegasus::CMPIProvider::INITIALIZED, _module = 0x7fffe40022a0, _miVector = {miTypes = 23, genericMode = 1, instMI = 0x0, assocMI = 0x0, methMI = 0x0, propMI = 0x0, indMI = 0x0, createInstMI = 0x0, createAssocMI = 0x0, createMethMI = 0x0, createPropMI = 0x0, createIndMI = 0x0, createGenInstMI = 0x7fffef7ffc90 <_Generic_Create_InstanceMI>, createGenAssocMI = 0x7fffef7ffeb0 <_Generic_Create_AssociationMI>, createGenMethMI = 0x7fffef7ffda0 <_Generic_Create_MethodMI>, createGenPropMI = 0x0, createGenIndMI = 0x7fffef7fffc0 <_Generic_Create_IndicationMI>}, _broker = {<_CMPIBroker> = {hdl = 0x7fffe40020b0, bft = 0x7fffefc997e0 , eft = 0x7fffefc999a0 , xft = 0x7fffefc99a80 , mft = 0x0}, classCache = { _clsCacheSCMO = 0x7fffe4001ce0, _rwsemClassCache = {_rwlock = { rwlock = {__data = {__lock = 0, __nr_readers = 0, __readers_wakeup = 1, __writer_wakeup = 0, __nr_readers_queued = 0, __nr_writers_queued = 0, __writer = 0, __shared = 0, __pad1 = 0, __pad2 = 0, __flags = 0}, __size = "\000\000\000\000\000\000\000\000\001", '\000' , __align = 0}}}}, name = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x7fffe4002430}, provider = 0x7fffe4002500}, unloadStatus = CMPI_RC_DO_NOT_UNLOAD, _cimom_handle = 0x7fffe40020b0, _name = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x7fffe4002390}, _moduleName = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x555555834050}, _no_unload = {_rep = {n = 2}}, _quantum = 0, _current_operations = {_rep = {n = 2}}, _statusMutex = {_rep = { mutex = {__data = {__lock = 2, __count = 1, __owner = 15138, __nusers = 1, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = "\002\000\000\000\001\000\000\000\";\000\000\001\000\000\000\001", '\000' , __align = 4294967298}, count = 0}, _magic = {_magic = 0}}, _removeThreadMutex = {_rep = {mutex = { __data = {__lock = 0, __count = 0, __owner = 0, __nusers = 0, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = '\000' , "\001", '\000' , __align = 0}, count = 0}, _magic = {_magic = 0}}, _idleTime = { tv_sec = 1365768410, tv_usec = 310415}, _idleTimeMutex = {_rep = { mutex = {__data = {__lock = 0, __count = 0, __owner = 0, __nusers = 0, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = '\000' , "\001", '\000' , __align = 0}, count = 0}, _magic = {_magic = 0}}, _threadWatchList = { _rep = {_magic = {_magic = 0}, _front = 0x0, _back = 0x0, _size = 0, _destructor = 0x7fffefa57ad0 ::_destructor(Pegasus::Linkable*)>}, _lock = {_rep = {mutex = {__data = {__lock = 0, __count = 0, __owner = 0, __nusers = 0, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = '\000' , "\001", '\000' , __align = 0}, count = 0}, _magic = {_magic = 0}}}, _cleanedThreads = { _rep = {_magic = {_magic = 0}, _front = 0x0, _back = 0x0, _size = 0, _destructor = 0x7fffefa57ad0 ::_destructor(Pegasus::Linkable*)>}, _lock = {_rep = {mutex = {__data = {__lock = 0, __count = 0, __owner = 0, __nusers = 0, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = '\000' , "\001", '\000' , __align = 0}, count = 0}, _magic = {_magic = 0}}}, _currentSubscriptions = 0, _currentSubscriptionsMutex = {_rep = { mutex = {__data = {__lock = 0, __count = 0, __owner = 0, __nusers = 0, __kind = 1, __spins = 0, __list = {__prev = 0x0, __next = 0x0}}, __size = '\000' , "\001", '\000' , __align = 0}, count = 0}, _magic = {_magic = 0}}, _providerInstance = { _rep = 0x0}} eCtx = {<_CMPIContext> = {hdl = 0x7fffe4003bc0, ft = 0x7fffefc99920 }, next = 0x7fffefa22308, prev = 0x7fffe4000f40, ctx = 0x5555559c9f38} nameSpace = {_rep = 0x7fffe4003c00 "root/cimv2"} className = {_rep = 0x7fffe4002170 "LMI_StorageExtent"} eRef = {<_CMPIObjectPath> = {hdl = 0x7fffe400e270, ft = 0x7fffefc99ec0 }, next = 0xffffffff, prev = 0x7ffff7f50590} propertyList = {_rep = 0x5555559518d0} eRes = {<_CMPIResult> = {hdl = 0x7ffff7f50480, ft = 0x7fffefc9a0c0 }, next = 0x7ffff3fdfcf4 , prev = 0x55555593e568, flags = 1, xBroker = 0x7fffe40025a0, resError = 0x0} props = {props = 0x0, pCount = 0} tmprc = {rc = 4089555320, msg = 0x7ffff7fe5000} cldata = {type = 960, state = 63477, value = {uint64 = 140737353417632, uint32 = 4160029600, uint16 = 928, uint8 = 160 '\240', sint64 = 140737353417632, sint32 = -134937696, sint16 = 928, sint8 = -96 '\240', real64 = 6.9533491410270121e-310, real32 = -9.93895511e+33, boolean = 160 '\240', char16 = 928, inst = 0x7ffff7f503a0, ref = 0x7ffff7f503a0, args = 0x7ffff7f503a0, filter = 0x7ffff7f503a0, Enum = 0x7ffff7f503a0, array = 0x7ffff7f503a0, string = 0x7ffff7f503a0, chars = 0x7ffff7f503a0 "\377\377\377\377", dateTime = 0x7ffff7f503a0, dataPtr = {ptr = 0x7ffff7f503a0, length = 0}, Byte = -96 '\240', Short = 928, Int = -134937696, Long = 140737353417632, Float = -9.93895511e+33, Double = 6.9533491410270121e-310}} __tracerToken = {component = Pegasus::TRC_XML_IO, method = 0x0} response = 0x7fffe4001d00 handler = { = { _vptr.OperationResponseHandler = 0x7ffff5783918 , _request = 0x5555559c9ef0, _response = 0x7fffe4001d00, _responseChunkCallback = 0x7ffff4cee930 , _responseObjectTotal = 0, _responseMessageTotal = 0, _responseObjectThreshold = 100}, = { = { = { _vptr.ResponseHandler = 0x7ffff57839b0 }, }, = {}, _objects = {_rep = 0x7ffff3fdcde0 }, _scmoObjects = {_rep = 0x7ffff3fdcde0 }}, _normalizer = { _cimClass = {_rep = 0x0}, _includeQualifiers = false, _includeClassOrigin = false, _context = {_rep = {_impl = 0x7fffe4001f40}}, _nameSpace = {cimNamespaceName = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x7ffff3fdfac0 }}, static _enableNormalization = false}} #261 0x00007fffefa4d545 in Pegasus::CMPIProviderManager::processMessage (this= 0x7fffe40018e0, request=0x5555559c9ef0) at CMPIProviderManager.cpp:267 __tracerToken = {component = 4160030368, method = 0x0} response = 0x0 #262 0x00007ffff4ad8269 in Pegasus::BasicProviderManagerRouter::processMessage ( this=0x55555593e550, message=) at BasicProviderManagerRouter.cpp:391 interfaceType = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x555555834580} itValue = {_rep = 0x5555558345b0} provMgrPath = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x555555834990} pm = 0x7fffe40018e0 request = remoteNameSpaceRequest = false __tracerToken = {component = 1435438128, method = 0x0} response = loadProviderManager = true providerModule = {_rep = 0x555555832610} #263 0x00007ffff4cf1ad9 in Pegasus::ProviderManagerService::_processMessage ( this=this@entry=0x5555558f0c30, request=request@entry=0x5555559c9ef0) at ProviderManagerService.cpp:870 idx = providerModule = {_rep = 0x555555832610} bitness = 1 userContext = 4 pos = moduleGroupName = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x555555834820} response = 0x0 #264 0x00007ffff4cf2179 in Pegasus::ProviderManagerService::handleCimRequest ( this=this@entry=0x5555558f0c30, op=op@entry=0x5555559c9fb0, message=message@entry=0x5555559c9ef0) at ProviderManagerService.cpp:408 pidc = { = { _vptr.Container = 0x7ffff3fd64f8 }, static NAME = {static EMPTY = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _rep = 0x55555575ff10}, _module = {_rep = 0x555555832610}, _provider = {_rep = 0x5555558841f0}, _isRemoteNameSpace = false, _remoteInfo = { static EMPTY = , _rep = 0x7ffff3fdfac0 }, _provMgrPath = { static EMPTY = , _rep = 0x7ffff3fdfac0 }} moduleDisabled = false providerModule = {_rep = 0x555555832610} pos = 24 operationalStatus = {_rep = 0x7fffe4000c40} __tracerToken = {component = 4096, method = 0x0} request = 0x5555559c9ef0 response = {d = {}, _ptr = 0x0} cimResponse = #265 0x00007ffff4cf2d73 in Pegasus::ProviderManagerService::handleCimOperation ( arg=0x5555558f0c30) at ProviderManagerService.cpp:288 request = legacy = 0x5555559c9ef0 msg = __tracerToken = {component = 4160032016, method = 0x0} service = 0x5555558f0c30 #266 0x00007ffff3d30e75 in Pegasus::ThreadPool::_loop (parm=0x7fffe00008c0) at ThreadPool.cpp:222 work = workParm = 0x5555558f0c30 blocking_sem = 0x0 myself = 0x7fffe00008c0 pool = 0x5555558f0f10 sleep_sem = 0x7fffe00009e0 lastActivityTime = 0x7fffe0000a80 __tracerToken = {component = Pegasus::TRC_XML, method = 0x0} #267 0x00007ffff37dfd15 in start_thread (arg=0x7ffff7f51700) at pthread_create.c:308 __res = pd = 0x7ffff7f51700 now = unwind_buf = {cancel_jmp_buf = {{jmp_buf = {140737353422592, -1146459787592221174, 1, 140737354125312, 140737353422592, 93824996020072, 1146477334076571146, 1146467501323173386}, mask_was_saved = 0}}, priv = {pad = {0x0, 0x0, 0x0, 0x0}, data = { prev = 0x0, cleanup = 0x0, canceltype = 0}}} not_first_call = 0 pagesize_m1 = sp = freesize = #268 0x00007ffff2ac148d in clone () at ../sysdeps/unix/sysv/linux/x86_64/clone.S:114 No locals. quit A debugging session is active. Inferior 1 [process 15132] will be killed. Quit anyway? (y or n)